数字逻辑电路总结

2024-08-29

数字逻辑电路总结(精选14篇)

1.数字逻辑电路总结 篇一

《数字逻辑电路》课程教学大纲

第一章 数制与编码

在数字电路和计算机中,只用0和1两种符号来表示欣喜,参与运算的数也是由0和1构成的,即二进制数。考虑到人类计数习惯,在计算机操作时,一般都要把输入的十进制数转换为二进制数后再由计算机处理;而计算机处理的二进制结构也需要转换为便于人类识别的十进制数然后显示出来,因此,需要学习不同的数值及转换方法。

通过这一章的学习,学习者要理解数字电路的特点以及几种数制之间的转换方法 进一步学习后续内容打好基础;

本章的主要教学内容(教学时数安排:8学时): §1.1 概述

§1.2 数制与编码 §1.3 编码

第二章 逻辑代数

本章主要介绍逻辑代数的基本定理和定律,常用公式及三大规则(代入、反演、对偶)。

通过本章的学习熟悉逻辑代数的各种表示方法(真值表、表达式及逻辑图等),理解各种逻辑门的图形符号,理解最小项的基本概念及标准与或式的表示方法。掌握逻辑代数变换技巧及逻辑代数化简方法。

本章的主要教学内容(教学时数安排:8学时): §2.1 逻辑代数的基本概念 §2.2 逻辑代数的运算法则 §2.3 逻辑代数的表达式 §2.4 逻辑代数的公式简化法

第三章 门电路

本章介绍典型TTL集成电路的基本工作原理,典型TTL与非门主要外部特性(电压传输特性、输入特性、输出特性),OC门和TS门的图形符号及逻辑功能,及其正确应用的注意事项。

要了解典型TTL集成电路的基本工作原理,要求掌握典型TTL与非门主要外部特性(电压传输特性、输入特性、输出特性),熟悉一些主要参数,理解OC门和TS门的图形符号及逻辑功能,了解其正确应用及注意事项。了解MOS门电路(特别是CMOS门电路)的构成,熟悉逻辑特性。

本章的主要教学内容(教学时数安排:8学时): §3.1 概述

§3.2 体二极管和三极管的开关特性 §3.3 分立元件门 §3.4 TTL集成门

§3.5 其他类型的双极型集成电路 §3.6 MOS集成们

第四章 组合逻辑电路

本章主要介绍了掌握组合逻辑电路的分析方法,一些常用的组合逻辑电路,如加法器、数据选择器、数据分配器等,以及半导体数码管的基本结构和引脚符号的含义,组合逻辑电路的竞争冒险现象。

通过本章的学习,要掌握组合逻辑电路的分析方法,以识别给定电路的逻辑功能,能设计一些简单的,常用的组合逻辑电路,掌握编码器、译码器的基本概念及应用方法,了解半导体数码管的基本结构和引脚符号的含义,了解加法器、数据选择器、数据分配器的基本原理和应用,了解组合逻辑电路的竞争冒险现象。

本章的主要教学内容(教学时数安排:8学时): §4.1 概述

§4.2 若干常用的组合逻辑电路

§4.3 基于Verilog HDL的组合逻辑电路设计 §4.4 组合逻辑电路的竞争——冒险现象

第五章 触发器

本章主要介绍了基本RS触发器的组成、工作原理、逻辑功能及逻辑功能的描述方法,还有同步触发器的电路结构,逻辑功能,主要介绍了边沿JK触发器、T触发器、维持阻塞D触发器集成JK、D触发器。

通过本章的学习,要理解掌握基本RS触发器的组成、工作原理、逻辑功能及逻辑功能的描述方法,了解同步触发器的电路结构,熟记其逻辑符号、逻辑功能,并会熟练运用,掌握主从JK触发器、T触发器、维持阻塞D触发器的逻辑符号,逻辑功能;掌握集成JK、D触发器的使用常识。

本章的主要教学内容(教学时数安排:8学时): §5.1概述

§5.2 基本RS触发器 §5.3 钟控触发器 §5.4 集成触发器

§5.6 触发器之间的转换

§5.7 基于Verilog HDL的触发器设计

第六章 时序逻辑电路

本章主要介绍了时序逻辑电路的概念及与组合逻辑电路的区别,寄存器的电路组成、常见类型及逻辑功能,以及时序逻辑电路的分析方法和设计方法,重点介绍了常见的二进制、十进制计数器工作原理及功能,集成寄存器、计数器的工作原理与设计方法。本章是本课程的重要部分。

通过本章的学习,掌握时序逻辑电路的概念及与组合逻辑电路的区别,掌握寄存器的电路组成、常见类型及逻辑功能,熟练掌握时序逻辑电路的分析方法和设计方法,掌握常见的二进制、十进制计数器工作原理及功能,了解集成寄存器、计数器的使用常识。

本章的主要教学内容(教学时数安排:8学时): §6.1 概述

§6.2 数码寄存器和移位寄存器 §6.3 计数器 §6.4 基于Verilog HDL的时序逻辑电路的设计

第七章 脉冲单元电路

本章主要介绍脉冲波形的主要参数,555定时器、单稳态触发器、施密特触发器、多谐振荡器的电路组成、工作原理以及各种触发器的应用。

通过本章的学习后,要掌握脉冲产生和变换电路的调试方法熟悉脉冲波形的主要参数,掌握单稳态触发器、施密特触发器、多谐振荡器的电路组成和工作特点,掌握555定时器的功能。

本章的主要教学内容(教学时数安排:6学时): §7.1 概述

§7.2 施密特触发器 §7.3 单稳态触发器 §7.4 多谐振荡器

第八章 数模和模数转换

本章主要介绍了 A/D与D/A转换电路的概念及A/D与D/A转换的区别,A/D与D/A转换电路组成、常用参数、分辨率和误差。

通过本章的学习后,要掌握A/D与D/A转换电路的概念及A/D与D/A转换的区别,掌握A/D与D/A转换电路组成、常用参数、分辨率和误差,熟练掌握转换的使用环境和特定型号。

本章的主要教学内容(教学时数安排:6学时): §8.1 概述 §8.2 数模转换 §8.3 模数转换

第九章 程序逻辑电路

半导体存储器是程序逻辑电路中的主要组成部分。本章主要介绍了程序逻辑电路的结构和特点,然后系统的介绍了半导体存储器的工作原理和使用方法。

通过本章的学习后,要了解程序逻辑电路的结构和特点,并掌握半导体存储器的工作原理和使用方法

本章的主要教学内容(教学时数安排:4学时): §9.1 概述

§9.2 随机存储器 §9.3 只读存储器

§9.4 程序逻辑电路的应用

制定者:

执笔 校对者: 审定者:

批准者:

2.数字逻辑电路总结 篇二

传统的《数字逻辑电路》教学模式采用黑板板书和PPT的形式, 以教师讲授传统的教学内容为主, 学生听讲为辅的灌输式教学, 学生处于被动学习的状态, 缺乏学习兴趣, 课堂学习效率和教学效果很不理想。针对数字电路传统教学方法中的问题, 我院在教学模式、教学方法和教学手段上都进行了改革。

1 教学改革的内容

1.1 互动式教学模式

互动式教学是主讲教师启发式的讲授、课堂上师生互动式的教学方法。采用这种方法的关键在于主讲教师要做好充分准备。教师在授课的同时, 要注重用实际生活中的例子启发学生, 比如在讲单稳态电路时, 指出该电路具有定时和延迟特性, 使它在测量与控制、家用电器、电子玩具等许多领域中发挥很好的作用。例如, 在楼道照明设施的控制器中, 采用一种声控和光控开关, 当控制器收到声音后, 把它放大并整形, 作为单稳态触发器的输入触发信号。单稳态在声音的触发下进入暂稳态, 输出高电平使灯亮起来。经过一定的时间后, 单稳态触发器恢复为稳态, 输出低电平使灯自动灭掉。同时, 根据实际应用提出问题, 引起学生的学习兴趣, 让他们积极思维, 进而采取讲课、课堂讨论和自学相结合的教学方式。

1.2 引入EDA

将EDA引入《数字逻辑电路》教学中, 对于一些抽象、复杂的变化过程, 可以通过EDA的仿真, 随时以图形、表格或曲线显示出来。在学习的过程中, 学生可以通过修改相应电路和具体参数, 仔细观察输出结果, 加深对数字电路本质和特点的理解, 全面掌握《数字逻辑电路》的重点内容。这样, 不仅拓宽了教学面, 提高了学生的学习兴趣和创新能力, 还收到事半功倍的教学效果。

在教学过程中, 首先要保持数字电路内容的完整性和理论的系统性, 包括组合逻辑电路、时序逻辑电路、程序逻辑电路和可编程逻辑器件等基本内容。在电路设计中, 减少以卡诺图为逻辑化简手段和相应传统设计方面的内容, 增加Verilog HDL设计内容。采用多媒体教学方式, 结合理论教学的进程, 及时利用EDA在计算机上进行设计、仿真验证, 以增强学生对学习内容的感性认识, 激发他们的学习兴趣。

下面以组合逻辑电路四位全加器的设计为例, 介绍EDA在数字逻辑电路课程教学中的应用。

1.2.1 传统的设计方法

组合逻辑电路的传统设计步骤是: (1) 分析逻辑问题, 根据问题列出真值表。 (2) 根据真值表画卡诺图, 利用卡诺图化简法得出最简表达式。如果采用公式化简法, 需由真值表写逻辑函数表达式, 根据公式化简。 (3) 根据设计要求转换简化后的表达式。 (4) 画逻辑图。

1.2.2 引入EDA的现代设计方法

引入EDA的数字逻辑电路现代设计过程如下:

分析设计要求:确定a, b是2个4位二进制加数输入端, cin是进位输入端, sum是和数输出端, cout是进位输出端。

用Verilog HDL语言描述输入与输出信号之间的逻辑电路关系, 即:

用Quartus II开发环境编辑、编译、综合和仿真用Verilog HDL语言描述的组合逻辑电路, 得到的仿真波形如图1所示。

从图1中可以看出, sum=a+b+cin, cout是进位输出, 满足设计要求。

将Quartus II软件综合后的adder.sof文件下载到FPGA实验箱进行硬件的验证。

分析这2种设计方法可知:采用基于EDA的现代数字电路设计方法, 不要求学生必须列出真值表, 推导出逻辑函数式, 也不需要人工化简得到最简表达式, 因为这些都可以使用Quartus II软件由计算机完成, 并且可通过仿真波形检验设计的电路是否满足题目的要求。这样可以使一些看不见、摸不着的抽象理论知识通过仿真和硬件验证比较直观地呈现在学生面前, 从而激发学生的学习兴趣。因此, 在《数字逻辑电路》的教学过程中, 学院要求教师首先简要讲授传统设计方法, 让学生了解数字逻辑电路传统的设计方法和步骤, 然后引入基于EDA的现代设计方法, 让学生感受到使用现代设计方法的优势。

1.3 网络教学

在传统的教学模式中, 大多数学生只是在课堂上有机会与教师交流, 在课下学习的过程中发现问题也不能及时解决。这在一定程度上影响了他们的学习进度, 最终影响学习效果。因此, 要充分利用高速发展的网络技术, 将课程的所有资料都放到精品课程网站中, 以便学生能够课前课后随时查找授课的知识点, 节省了大量的时间。建立的网络教学课程主页http://202.193.64.134/jpkc/中主要包括:《数字逻辑电路》理论课件、实验课件、教学录像、在线自测、在线答疑、教学改革、教学信息发布和试题库等信息。利用网络课程可以进一步提高学生的学习效果, 不断提高他们的自学能力。

2 结束语

通过对教学模式、教学方法和教学手段创新的探索与积极实践, 加深了学生对理论知识的理解和掌握, 激发了学生课外的学习兴趣, 提高了他们的学习积极性和在工程实践设计方面的能力, 培养了他们分析问题和解决问题的创新思维, 实现了对《数字逻辑电路》课程的精讲、多练、勤思的目的, 教学质量也达到了新的高度。近年来, 我院学生在全国各类大学生电子设计竞赛中连获佳绩, 这与将EDA技术应用于《数字逻辑电路》课程的教学中是分不开的。随着科学技术的发展, 还将在教学实践中努力探索新的教学方法, 持续提高教学质量, 以达到更佳的教学效果, 为培养出创新意识强、应用能力强、设计能力强的大学生而努力。

摘要:《数字逻辑电路》是一门工程实践性很强的课程。针对课程教学的内容和特点, 着重研究了教学模式、教学方法和教学手段等方面的改革。这些改革探索不仅有利于提升课堂教学效果, 还有利于提高学生的学习主动性和综合应用能力。

关键词:《数字逻辑电路》,教学改革,EDA,课堂教学效果

参考文献

[1]易艺, 郝建卫.FPGA在数字逻辑电路教学中的应用[J].实验科学与技术, 2016, 16 (2) .

[2]甄倩倩, 王丁磊.《数字电子技术》课程教学研究[J].软件导刊, 2016, 15 (1) .

[3]江国强.新编数字逻辑电路[M].第2版.北京:北京邮电大学出版社, 2013.

[4]张娜.基于项目化《传感器与检测技术》教学的探讨[J].电脑知识与技术, 2016, 12 (4) .

3.数字逻辑电路总结 篇三

【关键词】逻辑代数;运算顺序;描述;教学应用

逻辑代数又称布尔代数,是研究逻辑电路的数学工具,它为分析和设计逻辑电路提供了理论基础。逻辑代数是按一定逻辑规律进行运算的代数。它的运算顺序不能简单套用初等代数的运算规则,它有自己一套运算规则,包括运算顺序、基本公式、基本定律等。对于基本公式和基本定律,一般的职业技术学校的数字电路教材都有较详细的描述。但不知何故,对于逻辑代数运算顺序,多种教材对它的描述都不太全面,甚至有的不加以描述。本人在职业技术学校从事数字电路教学多年,从实践中体会到逻辑运算顺序的准确和明确的讲述,对学生正确理解和运用逻辑代数是有很大作用的。

一、职业技术学校数字电路教材对逻辑运算顺序的的描述

张兴龙主编高等教育出版社出版的《电子技术基础(第一版)》中对逻辑代数运算顺序有具体的描述。

王道生等编著电子工业出版社出版的《微型计算机电路基础(第二版 )》中对逻辑运算顺序作如下的描述(第182页)。逻辑运算的约定顺序为:括号、与、或,可按先“与”后“或”的规则省去括号,如 ,但 。对一组变量进行“非”运算不必加括号。在这里,没有时确说明“非”运算所处的位置,而是根据不同的实际情况同,有时是先“非”后“或”,有时是先“或”后“非”。

胡锦主编高等教育出版社出版的《数字电路与逻辑设计》中有两处描述,其一(第12页)。与或非运算:逻辑表达式为。与或非运算的规律遵从与运算、或运算、非运算的规律,运算的先后顺序为:先与运算,其次或运算,最后非运算。其二(第16页):利用反演律规则可以很容易地求出一个函数的反函数。需要注意的是,在运用反演律规则求一个函数的反函数时,必须按照逻辑运算的优先顺序进行:先算括号,接着与运算,然后或运算,最后非运算,注意公共非号要保留。从上面的描述中我们似乎可以总结得到逻辑运算的优先顺序:括号、与、或、非。但是对下面的逻辑函数式,使用上面的运算顺序就有矛盾出现:按上面的运算顺序应先“或”后“非”,但如果不先算和又怎能算 “或”?显然这样的运算顺序是不能适用于所有的逻辑函数表达式的。

以上教材对逻辑运算的优先顺序的描述对具体的逻辑函数式是正确的。但要根据不同的实际情况,采用不同的逻辑运算顺序。即对单个变量的“非”运算最优先,对一组(两个或两个以上的变量)的“非”运算是先“与”、“或”后“非”。如果是“非”运算下还有“非”运算和“与”、“或”运算,则……有没有一个适用于所有的逻辑函数表达式运算顺序描述呢?

二、本文对逻辑运算优先顺序的的描述

逻辑运算优先顺序:括号、非、与、或,可按先“与”后“或”的规则省去括号。对一组变量(两个或两个以上的变量,下同)进行“非”运算。由于不容易引起误解,书写时括号均省略,但是在运算时这一组变量视为有括号。

这样的逻辑运算顺序的约定,适用于所有的逻辑函数表达式运算。学生在学习过程中不再需要根据不同的表达式采用不同的逻辑运算顺序。而且,利用这逻辑运算顺序的约定的描述,以前在逻辑函数的计算中和在逻辑函数转换为逻辑电路图时所遇到的难题都迎刃而解。

三、逻辑运算顺序在逻辑函数的计算中的应用

在逻辑函数的计算中,对所有的对逻辑函数式只要我们先把所有的一组变量“非”运算都加上括号,然后按运算优先顺序运算即可。例如:和其运算顺序如下:

四、逻辑运算顺序在逻辑函数转换为逻辑电路图时的应用

根据逻辑函数的表达式,画出逻辑电路图,是组合逻辑电路设计的一个步骤。教材提供具体的方法是:根据逻辑函数式中的逻辑运算关系,用相应门的逻辑符号来表示。学生对这个我们看似简单的方法,往往感到不知从何入手。我为学生提供的方法是:按逻辑代数运算顺序,逐层画出逻辑电路图。

例:已知逻辑函数,,画出它们的逻辑电路图

当然在上面的逻辑图中,或门和其后的非门可组合为与或非门。

五、逻辑运算顺序在理解逻辑函数的另一种表达式时的应用

逻辑函数在一些计算机的软件中往往有另外一种表达式,例如在电子仿真软件MULTISIM2001中,表达式就会被写成这样:Y=A’+(B+C’)’ 在这里,无非是用“ ’ ”来表示“非”。逻辑运算的顺序完全就是我们在第二点所描述的,在教学时不用多加讲解,学生就非常容易理解。

实践证明,在教学中,明确和全面的描述逻辑代数运算顺序,重视逻辑代数运算順序的教学,促使学生熟练运用逻辑代数的运算顺序,教学效果非常好,不仅有助于确理解和运用逻辑代数,也为后面学习数字电路的分析和设计奠定基础。

参考文献:

[1]张龙兴主编.电子技术基础.高等教育出版社

[2]王道生等主编.微型计算机电路基础.电子工业出版社

4.数字逻辑电路总结 篇四

一、课程编号:010131

二、课程类型:

课程性质:必修课

适用专业:通信工程、电子信息工程、电子信息科学与技术,信息工程等专业普通班

课程学时/学分: 56学时/3.5学分

先修课程:电路分析基础、线性电子电路

三、课程任务:

本课程是通信工程、电子信息工程、电子信息科学与技术等专业的主要技术基础课。本课程具有极强的逻辑性和实用性,是一门硬件基础课程,它作为上述专业众多的后续课程的基础,作为电子、通信领域中实际应用的基础,其作用举足轻重。通过本课程的学习,使学生掌握逻辑代数和逻辑设计基础理论,掌握数字电路分析和数字电路设计的基本方法。为学生今后在信息技术天地中驰骋奠定坚实的硬件基础。教学活动中应当强调启迪学生的逻辑思维方法,建立学生的逻辑思维能力,给学生留有足够的想象空间,引导学生培养创新应用、开发数字电路器件的能力。

四、课程主要内容及学时分配:

第1章

数字逻辑基础(10学时)第2章

逻辑门电路(6学时)第3章

组合逻辑电路(8学时)第4章

集成触发器(6学时)第5章

时序逻辑电路(12学时)

第7章

半导体存储器和可编程逻辑器件(7学时)第8章 D/A和A/D转换(1学时)第9章

脉冲电路(4学时)机动(2学时)

五、教学基本要求:

1、掌握数字系统中常用的数制(二进制、八进制、十进制、十六进制)及其转换方法,掌握常用编码及其表示十进制数的方法,掌握逻辑代数的逻辑运算、公式和规则,掌握逻辑函数及其表示方法,掌握逻辑函数的化简方法;

2、掌握TTL、CMOS逻辑门的逻辑功能、电气特性、应用和使用注意事项;

3、掌握组合逻辑电路的特点,掌握用传统方法分析和设计组合逻辑电路,重点掌握常见中规模组合逻辑器件(MSI)(译码器、数据选择器、运算电路)的逻辑功能和应用,了解组合逻辑电路中的冒险现象;

4、掌握触发器的分类和逻辑功能,重点掌握主从型和边沿触发器的特点和应用;

5、掌握时序逻辑电路的特点、时序逻辑电路的分析方法和设计方法,重点掌握常见中规模时序逻辑器件(MSI()CT74160、CT74161、CT74163、CT7490、CT74194)的逻辑功能和用MSI器件构成任意模值计数器的方法;

6、熟悉半导体存储器(SAM、ROM、RAM)的结构特点、工作原理和扩展方法,掌握ROM、PROM阵列在组合逻辑设计中的应用;理解PLA、PAL、GAL和FPGA等各种可编程逻辑器件的基本结构特点和工作原理;了解各种PLD器件如何配置以实现基本组合或时序逻辑功能。

7、了解A/D转换和D/A转换的基本工作原理;了解常用A/D转换器和D/A转换器的电路结构和应用特点;了解常用的集成ADC和集成DAC,了解A/D转换器和D/A转换器的主要参数;

8、掌握脉冲信号和脉冲电路的特点,掌握用555定时器构成数字电路中的常见脉冲电路,如施密特触发器,单稳态触发器和多谐振荡器的方法。

十、教材及主要参考书:

教 材: 《数字电路与逻辑设计》 邹虹主编 人民邮电出版社 2008年

主要参考书:

《数字电路与逻辑设计》(第三版)王毓银主编 高等教育出版社1999年

《数字基础》

科学出版社 2002年3月

5.逻辑电路教案 篇五

一、教学目标

1.知识与技能

(1)知道三种门电路的逻辑关系、符号及真值表;

(2)会用真值表表示一些简单的逻辑关系;

(3)会分析、设计一些简单的逻辑电路。

2.过程与方法

(1)通过实例与实验,理解“与”、“或”、“非”逻辑电路中结果与条件的逻辑关系;

(2)通过简单的逻辑电路设计,体会逻辑电路在生活中的意义。

3.情感态度与价值观

(1)感受数字技术对现代生活的巨大改变,关注我国集成电路以及元器件研究的发展情况;

(2)体验物理知识与实践的紧密联系;

(3)学生在自主探究、交流合作中获得知识,体会学习的快乐。

二、教学重、难点

重点:三种门电路的真值表及符号。

难点:数字电路的意义。

三、教学工具:声控灯、三种门电路演示板

四、教学过程

(一)导入新课

演示:声控灯。

①接通电源,灯不亮。

②接通电源,拍手,灯不亮。

③接通电源,遮光,灯不亮。

④接通电源,遮光,拍手,灯亮。

师:像这样,现在很多电器中都包含了“智能”化逻辑关系,请同学们举例。

生:自动擦鞋机、干手机。

实现这些逻辑功能离不开?数字信号。

师介绍:

①数字信号:只有两个对立的状态,高电平“1”,低电平“0”。

②模拟信号:连续变化的电压信号。

高二物理组 施磊

教学札记

高中物理选修3-1

数字信号的“0”和“1”好比事件的“是”与“非”,而处理数字信号的电路——数字电路,就有了辨别“是”、“非”的逻辑功能。

这节课我们学习数字电路中最基本的逻辑电路——??门电路。

(二)进行新课

1.“与”门

师:门是一种条件开关,只有当输入信号满足一定条件时,门才能被打开,才有输出信号。

(1)投影:

引导学生分析开关A、B对电路的控制作用,体会“与”逻辑关系。

(当两个条件都满足时,结果才会成立)

(2)思考与讨论,让学生体会生活中的“与”逻辑关系。师:具有“与”逻辑关系的电路称为“与”门电路,简称“与”门。

(3)引导学生把图2.10-2结果与条件的关系用表格表示。

引导学生:把开关接通定义为“1”,断开定义为“0”;灯亮定义为“1”,灯熄定义为“0”,用数字语言描述上表:

高二物理组 施磊

高中物理选修3-1

师:这是“与”门的真值表,图2.10-2中A、B是有逻辑关系的机械开关,实用的门电路则是半导体材料制成的。

(4)“与”门的符号

(5)演示“与”门电路。

(6)声控灯的再讨论。

2.“或”门

(1)投影:

引导学生分析开关A、B对电路的控制作用,体会“或”逻辑关系(在几个控制条件中,只要有一个条件得到满足,结果就会发生)

(2)思考与讨论,让学生体会生活中的“或”逻辑关系。

师:具有“或”逻辑关系的电路称为“或”门电路,简称“或”门。

(3)引导学生把图2.10-6结果与条件的关系用表格表示。

高二物理组 施磊

高中物理选修3-1

引导学生:把开关接通定义为“1”,断开定义为“0”;灯亮定义为“1”,灯熄定义为“0”,用数字语言描述上表:

师:这是“或”门的真值表。

(4)“或”门的符号。

(5)演示“或”门电路。

3.“非”门

(1)学生沿用“与”门、“或”门的研究方法,理解“非”逻辑关系,写出“非”门的真值表,记住“非”门符号。

(2)师演示“非”门电路。

(3)自动擦鞋机的再讨论。

2.师:介绍集成电路的优点。让学生了解几个“或”门的集成电路和几个“非”门的集成电路的外引线图。

高二物理组 施磊

高中物理选修3-1

3.实例探究

教师引导学生完成对例题的分析和求解,通过实例分析加深对所学知识的理解。

4.作业:阅读科学漫步──集成电路。教学反思

6.智力竞赛抢答器逻辑电路设计 篇六

设计任务和要求

用TTL或CMOS集成电路设计智力竞赛抢答器逻辑控制电路,具体要求如下:

1.抢答组数为4组,输入抢答信号的控制电路应由无抖动开关来实现。

2.判别选组电路。能迅速、准确地判处抢答者,同时能排除其它组的干扰信号,闭锁其它各路输入使其它组再按开关时失去作用,并能对抢中者有光、声显示和呜叫指示。

3.计数、显示电路。每组有三位十进制计分显示电路,能进行加/减计分。

4.定时及音响。

必答时,启动定时灯亮,以示开始,当时间到要发出单音调“嘟”声,并熄灭指示灯。抢答时,当抢答开始后,指示灯应闪亮。当有某组抢答时,指示灯灭,最先抢答一组的灯亮,并发出音响。也可以驱动组别数字显示(用数码管显示)。回答问题的时间应可调整,分别为10s、20s、50s、60s或稍长些。

7.数字逻辑电路总结 篇七

关键词:教学改革,实验,数字逻辑电路,计算机专业

数字逻辑电路实验课程是电气、电子信息类和部分非电类专业本科生在电子技术方面入门性质的技术课。它在电类专业中深受青睐, 但在非电类专业中的教学没引起足够的重视。长期以来, 在我校计算机专业类数字逻辑电路实验的实验教学中, 出现实验教师难教学生厌学的现象。我们从学生学习该课程的现状着手, 通过对该课程的先导课程及后续课程进行调查分析, 了解相关理论课学习的状态, 并据此提出了相应的实验教学改革措施, 分三个阶段对学生的学习能力及动手能力进行培养, 我们称之为数字逻辑电路实验课程“过三关”[1]。

1 数字逻辑电路实验的教学改革思路

数字逻辑电路实验在计算机类专业都把它作为一门主干必修课程, 但相比专业课来说, 非电类专业对该课程地位认识和重视程度是不一样的, 普遍存在的一种现象是“重软件轻硬件”[2]。我校计科专业、网工专业的“数字逻辑电路实验”课, 安排在第三学期, 并具有第二学期的“模拟电子技术”课程的基础。而软工专业的“数电”课安排在第二学期, 并没有提前开设“模电”课程, 缺乏电路知识的先导。在总课时数压缩的情况下, 由于理论课和实验课安排在同一学期, 并在第一周同时开课, 实验课严重滞后于理论课的进度, 造成学生想要学好又觉得心有余而力不足[3]。

第一关:克服对数字电路实验课的心理恐惧关

对计算机专业的学生来说, 模拟电子技术和数字逻辑电路都很难学, 更难于精。适合计算机专业的专用教材很少, 更没有比较适合的实验教材。不得已沿用电类专业的教材, 理论偏多偏深。单纯的数字逻辑分析抽象、枯燥、乏味, 遇到复杂的逻辑现象更容易让人感到无从下手, 产生畏难情绪。例如:教材[4,5]的第二章逻辑门电路, 是学生们共同认为最难于理解、头疼困难的内容。在讲解TTL (Transistor-Transistor Logic) 基本逻辑门涉及到很多的电路基础知识、基本电路元件 (电阻、二极管、三极管等元件) 、电路及结构、半导体工艺、以及它们的电流、电压、元件参数等内部电气参数的计算等。对电路原理的理解和对电子元器件认识存在困难。然而, 计算机专业学习的重点并不在这些电路的内部原理和前端设计, 实验所必需的电路基础知识在课程中的应用暂时不用十分深入, 可以不用刻意去理解逻辑器件的内部结构。重点应放在:一是掌握器件输入和输出之间的逻辑功能;二是外部的电气特性其主要参数。相应的基本门电路实验, 目的包括掌握TTL基本逻辑门的逻辑功能验证与参数测试;掌握TTL器件的使用规则;进一步熟悉数字逻辑电路实验装置的结构、基本功能和使用方法。“轻里重外”, 将集成电路视为“黑匣子”, 这样电路基础知识不再构成计算机专业的学生学习的障碍。

在实验教学中, 改善实验条件, 增强实验教学的趣味性。让生活走进实验、贴近生活。理论实验化, 实验生活化。例如:逻辑门实验是认识数字电路的基本实验, 电子门铃的原理就是利用与非门构成振荡器, 使输出端的铃声信号输出, 从而驱动喇叭发出闹铃声的。除此之外, 实验还能进行趣味游戏如乒乓球游戏机等的设计。通过增加实验内容、改变实验方法, 多做实验来改变学生怕做实验的恐惧心理。

根据现在的理论课学时、教学计划和实验设备, 改编有关内容。以“与非门”逻辑为例说明改革实验教学方法。采用先理论讲解, 以逻辑代数为基本数学工具, 从基本逻辑门电路入手。实验使用传统标准数字逻辑器件四2输入与非门74LS00, , 用它构成传统的与非门验证实验。再用硬件描述语言VHDL (Very-High-Speed Integrated Circuit Hardware Description Language) [6]和复杂可编程逻辑器件CPLD (Complex Programmable Logic Device) [7]实验实现“与非门”逻辑。这样就建立了同一实际逻辑问题用多种不同层次方法进行实验的模式:数字逻辑单元理论设计, 以门电路为基本单元电路构成各种组合逻辑电路和时序逻辑电路, 使用标准数字逻辑器件中的中 (MSI) 、小规模 (SSI) 的TTL集成电路验证;利用通用集成电路模块产品, 主要是用中 (MSI) 大规模 (LSI) 集成电路模块, 构成预定功能的逻辑电路;再用VHDL和CPLD构成复杂的电路系统, 步步推进, 穿插进行融合。

第二关:培养动手能力关

从数字逻辑电路实验课程的知识结构和特点分析, 数字逻辑电路实验主要由基本逻辑门电路, 由门电路组成的基本组合逻辑电路和时序逻辑电路及通用集成电路模块构成。

在第一阶段为数字逻辑电路基础实验 (芯片级实验) 。由“一门而入”, 选用传统典型标准数字逻辑器件与非门, 进行基本门电路逻辑功能测试与验证, 通过实验使学生熟练掌握数字电路实验箱的结构和使用方法, 使用示波器记录描述逻辑功能的波形图, 实验基本仪器测试集成电路外部电气特性参数。掌握用与非门组成其它逻辑门及逻辑门之间的互换、解决不同门电路之间相互连接匹配问题。对集成门电路外形建立感性认识, 熟悉芯片的外形封装、芯片的引脚数量和分布情况。通过基础实验, 训练了学生的数字逻辑设计的基本功, 为综合设计性实验打下良好的基础。

第二阶段为综合设计实验 (单元级实验) 。主要有基本技能测试性综合实验、组合电路设计性综合实验、时序电路设计性综合实验、存储器和D/A或A/D转换电路的综合实验。

综合设计性实验主要是小系统逻辑设计实验[8], 每一个实验系统可以由多片标准数字逻辑器件MSI、MSI的门电路组成。也可以用通用集成电路中的MSI、LSI的TTL集成电路芯片组成。实验者可根据自己的设计做出不同种类的电路, 培养对单元功能电路的理解和灵活运用能力。例在传统数字逻辑电路实验中, 最为经典的例子是“三人表决器实验电路的设计”[9]。其中SSI门电路设计最为灵活, 可以选择一种与非门构成“与非-与非式”、一种或非门构成“或非-或非式”、与非门+或非门构成“与或非式”。也可以采用通用集成电路模块译码器、数据选择器和加法器分别设计多种三人表决器实验电路。

第三关:VHDL及CPLD实验提高复杂电路设计能力关

从第一、第二阶段实验的效果来看, 这些实验是在掌握SSI、MSI电路分析和设计的基础上进行, 达到预定的逻辑功能。这种方法设计的逻辑系统规模不宜太大, 否则, 系统需要很多芯片, 连接线和接点复杂, 导致可靠性下降、功耗增加, 系统占用空间扩大。为此, 可以采用大规模集成和超大规模集成技术, 把完成复杂功能的众多芯片集成到一个芯片内。可以克服上述问题。这种能够完成特定功能的集成电路芯片称之为专用集成电路。用VHDL语言设计后, 在CPLD中实现, 这已经成为数字系统设计的主流。

将新技术和新型电路设计的方法充实到教学中去, 以体现实验与时俱进的先进性。第三阶段的可编程器件的应用与可编程电路的EDA设计实验 (系统级实验) , 要求学生用CPLD芯片重现第一阶段的基础实验和第二阶段综合设计性实验中的电路设计。训练学生通过阅读资料掌握可编程器件的功能及规范的使用方法。掌握EDA软件的使用方法和设计语言。最终达到“了解一种器件, 熟练使用一种设计工具, 掌握一门设计语言, 能够设计较复杂的数字系统”的目的。

通过三个不同阶段的实验过程, 将一种数字逻辑器件的基础理论, 用传统器件实验验证或实现, 再用VHDL及CPLD实验复现, 三者融合循环, 螺旋式上升。实现数字逻辑电路实验的教学改革, 帮助学生突破在学习道路上的三道难关。

2 结论

侯建军教授提出了“厚理博术, 知行相成”的教育理念。通过数字逻辑电路实验, 既要加强知识的学习, 又要践行所学的知识, 提高实践动手能力和创新能力。根据学生的特点确定教学目标, 组织教学内容, 制定教学方法, 以学生为主体, “教法”适应“学法”培养学生的学习兴趣。倡导以启发、探索和创新性实验为核心的研究式学习方式, 鼓励学生参与国家级和校级的大学生创新创业项目, 并参加各种国家电子技能大赛, 取得很好的效果。

参考文献

[1]刘志军“.模拟电子线路”的“过三关”——谈“模拟电子线路”教与学[J].电气电子教学学报, 2002 (11) .

[2]杨汉祥.数字电路课程交叉知识的教学研讨与实践[J].赣南师范学院学报, 2005 (6) .

[3]管冰蕾, 胡家芬.计算机专业《数字逻辑》课程教学改革的研究[J].时代教育:教育教学版, 2009 (3) .

[4]侯建军.数字电子技术基础[M].2版.北京:高等教育出版社, 2009.

[5]侯建军.电子技术基础实验综合设计实验与课程设计[M].北京:高等教育出版社, 2009.

[6]Volnei A Pedroni.VHDL数字电路设计教程[M].北京:电子工业出版社, 2013.

[7]王诚, 赵延宾, 梁成志.Lattice FPGA/CPLD设计 (基础篇) [M].北京:人民邮电出版社, 2011.

[8]刘新元, 谢柏青“.数字逻辑电路实验”课程改革[J].电气电子教学学报, 2009 (4) .

8.数字逻辑 教案 篇八

数制与编码

(3学时)

目标:熟练掌握计算机中几个常用的数制(十、二、八、十六进制)的特点、表示形式和相互转换的方法。熟练掌握3种机器数(原码、反码和补码)的表示形式、性质和相互转换的方法。熟练掌握数的定点、浮点表示方法。掌握十进制数字的常用编码(8421码、2421码、余3码)。掌握常用的可靠性编码(格雷码)的编码规则、特点。

主要内容:1.1 概述1.2 数制及其相互转换1.3 编码

重点:数制的表示方法及其转换方法;原码、反码和补码的表示形式和性质;数的定点、浮点表示方法;十进制数的二进制编码。 第2章

逻辑代数和硬件描述语言基础

(6学时)

目标:熟练掌握基本逻辑和复合逻辑的功能和符号表示;熟练掌握逻辑代数的基本概念、基本公式、定理和常用公式。掌握逻辑关系的描述方法(逻辑函数表达式、真值表、逻辑图)及其相互转换。熟练掌握逻辑函数的表示方法,最大项和最小项的表示方法。熟练掌握逻辑函数的公式化简法。了解Verilog HDL设计模块的基本结构,掌握Verilog HDL的词法和语句。

主要内容:2.1 逻辑代数的基本概念2.2 逻辑代数的运算法则2.3 逻辑函数的表达式2.4 逻辑函数的公式化简法2.5 Verilog HDL语言基础

重点:逻辑代数的基本公式、定理和常用公式,真值表,逻辑函数的表达式,逻辑函数的公式化简法,Verilog HDL的词法和常用语句。第3章

门电路

(7学时)

目标:了解集成电路的分类及正负逻辑和混合逻辑的概念。了解半导体的特性和PN结的单向导电性;了解半导体二极管、三极管和MOS管的开关特性。了解分立元件门的工作原理和功能。了解TTL、CMOS门电路的组成和工作原理;掌握典型TTL、CMOS门电路的逻辑功能、外部特性和使用方法;掌握TTL与非门的主要参数。了解ECL等其它逻辑门电路的特点。掌握基于Verilog HDL设计门级电路的方法。

主要内容:3.1 概述3.2 晶体二极管和三极管的开关特性3.3 分立元件门3.4 TTL集成门3.5 其它类型的双极型集成电路3.6 MOS集成门3.7 基于Verilog HDL的门电路设计

重点:常用逻辑门电路的功能、门电路的主要外特性参数及其含义;基于Verilog HDL设计门级电路的方法。 第4章

EDA设计工具软件

(4学时)

目标:了解综合使用几种常用的EDA设计工具软件进行数字系统设计的流程。熟练掌握QuartusⅡ的特点和使用方法,包括设计输入方法、设计编译、模拟仿真、定时分析以及器件编程。掌握使用ModelSim进行功能仿真的方法。

主要内容:4.1 数字系统设计流程4.2 常用的PLD设计EDA工具软件4.3 QuartusⅡ的使用4.4 仿真工具ModelSim的使用 4.5 设计实例

重点:QuartusⅡ的设计输入方法、引脚锁定和仿真方法,嵌入式逻辑分析仪Signaltap的使用方法,TimeQuest时序分析方法;Verilog测试文件的编写和ModelSim的使用。 第5章

组合逻辑电路

(5学时)

目标:掌握组合逻辑电路的特点、分析方法和设计方法。掌握加法器、编码器、译码器、数据选择器、数值比较器和奇偶校验器等常用组合逻辑电路的电路结构、逻辑功能及使用方法。熟练掌握基于Verilog HDL的组合逻辑电路设计方法。了解组合逻辑电路的竞争冒险现象及其消除方法。

主要内容:5.1 概述5.2 常用组合逻辑电路5.3 基于Verilog HDL的组合逻辑电路设计5.4 组合逻辑电路的竞争与冒险

重点:组合逻辑电路的分析方法和设计方法;常用组合逻辑电路的电路结构和逻辑功能;基于Verilog HDL的组合逻辑电路设计方法。第6章

触发器

(6学时)

目标:了解基本RS触发器的电路结构、工作原理、功能及约束条件。熟练掌握钟控触发器(RS、D、JK、T、T’)的逻辑功能及描述方法(特性方程、特性表、状态图和时序图)。了解集成触发器的结构和功能。了解几种触发器的常见开关参数(数据传输延迟、数据建立时间和保持时间等)。了解触发器之间的转换方法。熟练掌握基于Verilog HDL设计触发器的方法。

主要内容:6.1 概述6.2 基本RS触发器6.3 钟控触发器6.4 集成触发器6.5 触发器之间的转换 6.6 基于Verilog HDL的触发器设计

重点:基本RS触发器、钟控触发器的逻辑功能及描述方法;基于Verilog HDL的触发器设计方法。 第7章

时序逻辑电路

(8学时)

目标:掌握时序逻辑电路的特点、描述方法和分析方法。掌握寄存器、移位寄存器、计数器等常用时序逻辑电路的工作原理、逻辑功能及使用方法。熟练掌握用Verilog HDL设计时序逻辑电路的方法。了解基于Verilog HDL设计数字系统的方法。

主要内容:7.1 概述7.2 数码寄存器和移位寄存器7.3 计数器7.4 基于Verilog HDL的时序逻辑电路设计 7.5 基于Verilog HDL的数字系统设计

重点:常用时序逻辑电路的工作原理、逻辑功能及使用方法;基于Verilog HDL的时序逻辑电路设计方法。 第8章

程序逻辑电路

(3学时)目标:了解程序逻辑电路的结构及特点。了解半导体存储器(ROM和RAM)的电路结构和分类。掌握半导体存储器的工作原理和扩展存储容量的方法。了解用ROM实现组合逻辑函数的方法。掌握基于Verilog HDL的存储器设计方法。了解程序逻辑电路的应用。

主要内容:8.1 概述8.2 随机存储器8.3 只读存储器8.4 基于Verilog HDL的存储器设计8.5 程序逻辑电路的应用

重点:半导体存储器的工作原理和扩展存储容量的方法;基于Verilog HDL的存储器设计方法。 第9章

可编程逻辑器件

(3学时)

目标:了解PLD的几种分类方法。掌握阵列型PLD(PROM、PLA、PAL、GAL、EPLD和CPLD)和单元型PLD(FPGA)的基本结构和特点。掌握PLD的设计方法、设计流程。了解在系统可编程技术与边界扫描技术。了解PLD的编程与配置方法。

主要内容:

9.1 PLD的基本原理9.2 PLD的设计技术9.3 PLD的编程与配置

重点:阵列型PLD和单元型PLD的基本结构和特点;PLD的设计方法、设计流程。

四、课程知识单元和知识点 第1章

数制与编码(核心)

知识点:数制及其相互转换

二进制数的代码转换

机器数的原码、反码和补码

数的定点与浮点表示 十进制的常用编码

格雷码

字符编码

第2章

逻辑代数和硬件描述语言基础(核心)

知识点:逻辑代数的基本概念

逻辑代数的基本公式、定理和常用公式

逻辑函数的常用表达式和标准表达式 逻辑函数的公式化简法

Verilog HDL语言基础 第3章

门电路

知识点:集成电路的分类

半导体的共价键结构

半导体的分类

半导体的开关特性

PN结的单向导电性 晶体二极管的开关特性

晶体三极管的开关特性

分立元件门

TTL集成门电路的逻辑功能、外部特性和主要参数 ECL等其它双极型集成电路

MOS集成门

基于Verilog HDL的门电路设计方法 第4章

EDA设计工具软件

知识点:数字系统设计流程

常用的PLD设计EDA工具软件

Quartus Ⅱ的设计流程

设计输入方法(创建工程、图形输入、文本输入、建立存储器编辑文件)

设计的编译

引脚锁定方法(前锁定和后锁定)

设计的仿真验证(功能仿真和时序仿真)

时序分析 器件编程

仿真工具ModelSim的使用方法

测试文件模板 第5章

组合逻辑电路(核心)

知识点:组合逻辑电路的特点、分析方法和设计方法

常用组合逻辑电路的电路结构、逻辑功能和使用方法 基于Verilog HDL的组合逻辑电路设计方法

组合逻辑电路的竞争与冒险 第6章

触发器(核心)

知识点:触发器的特点与分类

基本RS触发器的电路结构、工作原理和功能

钟控(同步)触发器的电路结构、工作原理和功能

集成触发器的结构和功能 触发器的开关特性

触发器之间的转换方法

基于Verilog HDL的触发器设计方法 第7章

时序逻辑电路(核心)

知识点:时序逻辑电路的结构、特点、功能描述方法和分析方法

同步时序逻辑电路和异步时序逻辑电路的概念 寄存器、计数器等常用时序逻辑电路的工作原理、逻辑功能及使用方法

基于Verilog HDL的时序逻辑电路设计方法

有限状态机设计

基于Verilog HDL的数字系统设计方法 第8章

程序逻辑电路

知识点:程序逻辑电路的结构及特点

半导体存储器(ROM和RAM)的结构和分类

半导体存储器的工作原理和使用方法 基于Verilog HDL的存储器设计方法

程序逻辑电路的应用 第9章

可编程逻辑器件

知识点:可编程逻辑器件(PLD)的分类方法

阵列型PLD和单元型PLD的基本结构和特点 PLD的设计方法、设计流程

在系统可编程技术

边界扫描技术

9.数字逻辑1 篇九

引言

随着科学技术的飞速发展,人类正处于一个前所未有的信息时代

。现代生活中,人们每天都要接触大量的信息,如电视、广播、印刷媒体等,尤其是互联网的出现,更是带给人类无穷无尽的海量信息。人类接受信息的途径靠的是感觉器官,信息通过感觉器官进入大脑,经过大脑的分析处理后,将人们需要的信息储存于大脑之中。

但是,对于海量信息,人类的大脑很难都予以存储,因此迫切需要寻找一个可以帮助人类分析处理并能够存储海量信息的工具。

电子技术的发展,为人类的这种愿望提供了可能性。

电子技术中的数字电路,可以帮助人类对信息数据进行分析处理,经过处理的信息数据可以保留于数字电路构成的存储器中或可用于存储数字信号的其他介质中,如大家所熟悉的磁盘、光盘、磁带等等。

数字系统只能用来处理离散信息,然而自然界中存在的信息,大部分是以模拟信号的形式存在的,要对这部分信息进行处理,首先需要将模拟信号转换为数字信号,并对其编码后再提交给数字系统处理。

10.数字逻辑电路总结 篇十

1 VHDL语言的基本结构及优势

1.1 基本结构

一个相对完整的VHDL程序通常都有比较固定的结构, 主要的组成部分包括库、实体以及与实体相对应的结构体三种, 具体划分的话, 通常包括库、包集合、实体、构造体以及配置5个部分。

1.2 VHDL语言具有的优势

随着我国数字电子技术的飞速发展, 以及相关技术人员水平的不断提高, 数字逻辑电路的设计也在逐渐提高, VHDL语言所发挥的优势在电子技术的应用领域中得到了广泛的发展。

(1) 具有很强的行为描述能力。与其他的硬件描述语言相比较, 具有更强的行为描述能力是VHDL语言的最明显的优势, 可以避开具体的器件结构, 这也正是为什么VHDL语言会成为系统设计领域中最佳的硬件描述语言的原因。

(2) VHDL语言有良好的可读性。VHDL语言的良好的可读性体现在既可以被计算机接受, 也容易被读者们理解。而且VHDL书写的源文件用途也体现在多方面, 既可以是程序也可以是文档, 既可以是技术信息, 也可以作为签约的合同文件。

(3) VHDL语言具有良好的可移植性。作为一种已经被IEEE (Institute of Electrical and Electronics Engineers——美国电气和电子工程师协会) 承认的工业标准, VHDL的应用范围已经越来越广, 可以满足不同设计环境和系统平台的使用。

(4) 具有丰富的仿真语句和库函数。VHDL具有的丰富仿真语句, 可以使其应用的效果提前向人们展示出来, 结合系统是否存在可行性就一目了然。随时可以对设计进行仿真模拟工作。

(5) VHDL语言可以延长设计的周期。因为VHDL的硬件是相对独立的, 不会与工艺技术发生关联, 所以, 也不会因为工艺产生变化的情况下出现描述事件过长的情况。

2 VHDL语言在数字逻辑电路设计中的仿真设计

目前, 很多相关公司都为设计者提供了仿真工具, 比如说Synopsys公司的VHDL System Symulator、Model Technology公司的SYNARIO VHDL Simulator等。通过这些仿真工具, 设计者可以对各个设计层次的设计模块进行仿真, 这样就可以提前发现问题, 解决问题, 使程序能够顺利运行。所以, 仿真是利用VHDL语言进行硬件设计的一个不可获取的步骤, 同时也贯穿了整个设计的过程中。

一般情况下, 仿真输入信息的产生主要分为程序直接产生法和读TEXTIO文件产生法两种。

2.1 程序直接产生法

程序直接产生法是最普通也是最常用的方法, 主要是由设计者设计一段VHDL语言程序, 通过此程序直接形成仿真信息, 形成波形图来达到仿真效果。例如:

2.2 读TEXTIO文件产生法

在程序直接产生法中, 仿真的设计人员必须要对仿真的模块有足够的了解, 了解详细的状态和它们与时间的关系, 为此, 人们设计了一种在仿真时, 根据定时要求按行读出的方法, 并赋予相应的输入信号。这种方法就是读TEXTIO文件产生法。

2.3 产生输入仿真信号注意事项

对于控制信号和时钟信号输入时间, 最好不要选在同一个仿真时刻出现, 应该相应的错开一段时间, 这样可以防止仿真中因判别二者变化的先后不同而出现相反的结果, 使仿真结果具有唯一性。

3 结语

VHDL凭借着自身诸多优势, 已经越来越广泛的被应用, 在我国电子技术飞速发展的推动下, VHDL也会越来越被人们重视, VHDL在数字逻辑电路中的应用是VHDL应用的开始, 在未来的时间里, 一定会得到更好的发展。

参考文献

11.数字逻辑实验报告心得 篇十一

通过一学期的努力学习,查阅了一些相关技术的书籍,书中通过大量的图示对pld硬件特性与编程技术进行了形象的讲解,不仅融合了之前学习的关于电路设计的知识还将eda的技术加入其中。对vhdl语言的详尽讲解更是让我深刻理解了vhdl语言的编程原理。由于本门课程是一门硬件学习课程,所以实验必不可少。通过课程最后实验,我体会一些vhdl语言相对于其他编程语言的特点。

在接触vhdl语言之前,我已经学习了c语言,汇编语言,而相对于这些语言的学习,,vhdl 具有明显的特点。这不仅仅是由于vhdl作为一种硬件描述语言的学习需要了解较多的数字逻辑方面的硬件电路知识,包括目标芯片基本结构方面的知识更重要的是由于vhdl描述的对象始终是客观的电路系统。由于电路系统内部的子系统乃至部分元器件的工作状态和工作方式可以是相互独立、互不相关的,也可以是互为因果的。这表明,在任一时刻,电路系统可以有许多相关和不相关的事件同时并行发生。例如可以在多个独立的模块中同时入行不同方式的数据交换和控制信号传输,这种并行工作方式是任何一种基于cpu的软件程序语言所无法描绘和实现的。传统的软件编程语言只能根据cpu 的工作方式,以排队式指令的形式来对特定的事件和信息进行控制或接收。在cpu工作的任一时间段内只能完成一种操作。因此,任何复杂的程序在一个单cpu的计算机中的运行,永远是单向和一维的。因而程序设计者也几乎只需以一维的思维模式就可以编程和工作了。

12.数字电路课程设计 数字钟 篇十二

数字钟实际上是一个对标准频率(1Hz)进行计数的计数电路。振荡器产生的时钟信号经过分频器形成秒脉冲信号,秒脉冲信号输入计数器进行计数,并把累计结果以“时”、“分”、“秒”的数字显示出来。秒计数器电路计满60后触发分计数器电路,分计数器电路计满60后触发时计数器电路,当计满24小时后又开始下一轮的循环计数。一般由振荡器、分频器、计数器、译码器、数码显示器等几部分组成。

振荡电路:主要用来产生时间标准信号,因为时钟的精度主要取决于时间标准信号的频率及稳定度,所以采用石英晶体振荡器。

分频器:因为振荡器产生的标准信号频率很高,要是要得到“秒”信号,需一定级数的分频器进行分频。

计数器:有了“秒”信号,则可以根据60秒为1分,24小时为1天的进制,分别设定“时”、“分”、“秒”的计数器,分别为60进制,60进制,24进制计数器,并输出一分,一小时,一天的进位信号。

译码显示:将“时”“分”“秒”显示出来。将计数器输入状态,输入到译码器,产生驱动数码显示器信号,呈现出对应的进位数字字型。

由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路可以对分和时进行校时。另外,计时过程要具有报时功能,当时间到达整点前10秒开始,蜂鸣器1秒响1秒停地响5次。

为了使数字钟使用方便,在设计上使用了一个变压器和一个整流桥来实现数字钟电能的输入,使得可以方便地直接插入220V的交流电就可以正常地使用了。关键词 数字钟 振荡 计数 校正 报时

目 录 设计目的...........................................................4 2 设计任务...........................................................4 3数字电子钟的组成和工作原理..........................................4 3.1数字钟的构成......................................................4 3.2原理分析..........................................................4 3.3数字点钟的基本逻辑功能框图........................................5 4.数字钟的电路设计..................................................5 4.1 秒信号发生器的设计...............................................6 4.2时间计数电路的设计................................................8 4.3译码显示电路.....................................................10 4.4正点报时电路的设计................................................12 4.5校时电路的设计....................................................13 5设计心得........................................................14 6参考文献.............................................................15

1设计目的

在学完了《数字电子技术基础》课程的基本理论,基本知识后,能够综合运用所学理论知识、拓宽知识面,系统地进行电子电路的工程实践训练,锻炼动手能力,培养工程师的基本技能,提高分析问题和解决问题的能力。

2设计任务

2.1设计指标

1.时间计数电路采用24进制,从00开始到23后再回到00; 2.各用2位数码管显示时、分、秒;

3.具有手动校时、校分功能,可以分别对时及分进行单独校时,使其校正到标准时间; 4.计时过程具有报时功能,当时间到达整点前10秒开始,蜂鸣器1秒响1秒停地响5次。5.为了保证计时的稳定及准确,须由晶体振荡器提供时间基准信号。2.2设计要求

根据选定方案确定实现设计要求的基本电路和扩展电路,画出电路原理图。

3数字电子钟的组成和工作原理

3.1数字钟的构成

数字钟一般由振荡器、分频器、计数器、译码器、显示器、校时电路、报时电路等部分组成,这些都是数字电路中应用最广的基本电路。3.2原理分析

数字钟实际上是一个对标准频率(1Hz)进行计数的计数电路。振荡器产生的时钟信号经过分频器形成秒脉冲信号,秒脉冲信号输入计数器进行计数,并把累计结果以“时”、“分”、“秒”的数字显示出来。秒计数器电路计满60后触发分计数器电路,分计数器电路计满60后触发时计数器电路,当计满24小时后又开始下一轮的循环计数。由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路可以对分和时进行校时。另外,计时过程要具有报时功能,当时间到达整点前10秒开始,蜂鸣器1秒响1秒停地响5次。3.3数字点钟的基本逻辑功能框图

图1 数字钟的基本逻辑框图

4数字钟的电路设计

下面将介绍设计电路具体方案:其中包括电源电路的设计、秒信号发生器的设计、时间计数电路的设计、译码驱动显示电路的设计、正点报时电路的设计、校时电路的设计几个部分。

4.1 秒信号发生器的设计

晶体振荡分频电路石英晶体振荡电路 1.采用频率fs=32768Hz的石英晶体。

D1、D2是反相器,D1用于振荡,D2用于缓冲整形。Rf为反馈电阻(10~100MΩ),反馈电阻的作用是为CMOS反相器提供偏置,使其工作在放大状态。C1是频率微调电容,改变C1可对振荡器频率作微量调整,C1一般取5~35pF。C2是温度特性校正用的电容,一般取20~405pF,电容C1、C2与晶体共同构成Ⅱ型网络,完成对振荡器频率的控制,并提供必要的1800相移,最后输出fs=32768Hz。

图4 石英晶体振荡电路

2.多级分频电路

将32 768Hz脉冲信号输入到CD4060(内部结构如图4-4)组成的脉冲振荡的14位二进制计数器,所以从最后一级Q14输出的脉冲信号频率为:32768/214 = 32768/16384 = 2Hz 如图6。再经过二次分频,得到1Hz的标准信号脉冲,即秒脉冲如图7。

图5 CD4060内部结构

图6 脉冲分频电路

图7 秒信号原理图

图8 晶体振荡及分频电路

4.2时间计数电路的设计

秒信号经秒计数器、分计数器、时计数器之后,分别得到“秒”个位、十位、“分”个位、十位以及“时”个位、十位的计时输出信号,然后送至译码显示电路,以便实现用数字显示时、分、秒的要求。“秒”和“分”计数器应为六十进制,而“时”计数器应为二十四进制。采用10进制计数器74LS162来实现时间计数单元的计数功能,其为双2-5-10异步计数器,并且每一计数器均有异步清零端(高电平有效)。4.2.1“分”、“秒”六十进制计数器

选用两块74LS162采用异步清零的方法完成60进制。以“秒”计数为例:计秒时,将秒个位计数单元的QA与CP(下降沿有效)相连,将74LS162连接成10进制计数器,BCPA(下降沿有效)与1HZ秒输入信号相连,QD可作为向上的进位信号与十位计数单元的CPA相连。秒十位计数单元为6进制计数器,需要进制转换。将10进制计数器转换为6(0110)进制计数器,当十位计数器计到QD QC QB QA为0110时,同时对秒的个位和十位进行清0,另外QC可作为向上的进位信号与分个位的计数单元的CPA相连。其具体连接图如图9CPA相连,其具体连接图如图9。7

图9 六十进制计数器

4.2.2二十四进制计数器

同样可以选用两块74LS162采用异步清零的方法完成24进制计数 如图10。

图10二十四进制计数器

4.3译码显示电路

译码显示电路是将计数器输出的8421 BCD码译成数码管显示所需要的高低电平,我们采用阴极七段数码管,引脚如图11。

其则译码电路就应选接与它配套的共阴极七段数码驱动器。译码显示电路可采用CD4511BC-7段译码驱动器,其芯片引脚如图12。译码器A、B、C、D与十进制计数器的四个输出端相连接,a、b、c、d、e、f、g即为驱动七段数码显示器的信号。根据A、B、C、D所得的计数信号,数码管显示的相对应的字型。其具体电路图如图13。

图11 阴极七段数码管

图12 芯片CD4511BC-7段译码驱动器引脚

图13 译码显示电路

4.4正点报时电路的设计

要求当时间到达整点前10秒开始,蜂鸣器1秒响1秒停地响5次。即当时间达到xx时59分50秒时蜂鸣器开始响第一次,并持续一秒钟,然后停鸣一秒,这样响五次。在59分50秒到59分59秒之间,只有秒的个位计数,分的十位QD QC QB QA输出0101,个位QD QC QB QA 输出1001,秒的十位QD QC QB QA 输出0101均不变,而秒的个位QA计数过程中输出在0和1之间转。所以可以利用与非门的相与功能,把分十位的QC、QA ,分个位的QD、QA,秒十位的QC、QA 和秒个位的QA相“与非”作为控制信号控制与非门的开断,从而控制蜂鸣器的响和停。如图14。

图14 整点报时电路

4.5校时电路的设计

时钟出现误差时,需校准。校对时间总是在标准时间到来之前进行,分四个步骤:首先把小时计数器置到所需的数字;然后再将分计数器置到所需数字;在此同时或之后,将秒计数器在零时停计数,处于等待启动;当选定的标准时刻到达的瞬间,按起动按钮,电路则从所预置时间开始计数。由此可知,校时电路应具有预置小时,预置分、等待启动、计时四个阶段,因此,我们设计的校时电路,方便、可靠地实现这四个阶段所要求的功能。

图15数字电子钟的计数校正电路

5设计心得

本次实验培养了我的团队合作精神,两人分工明确,我们一起处理实验过程中遇到的难题,在每连接好一个模块后,我们认真地检查电路,这样大大减少了实验出错的机率,为最后成功完成实验节省了不少的时间。

本次数字钟电路设计实验还做到理论联系实际,刚刚学过了数电这门课程,还没完全弄懂某些门电路的原理和用途,而此次课程设计恰恰提供了一个好机会,让我们从实践中加深了对所学知识的理解。参考文献

13.数字逻辑电路总结 篇十三

实验教学是高等教育的重要组成部分, 随着高校教学改革的深入, 实验教学改革的重心逐步由实验室硬件和环境的建设, 转向实验内容和形式的创新。国家教育部在“关于加强高等学校本科教学工作提高教学质量的若干意见”中指出, “高等学校要重视本科教学的实验环节”“并开出一批新的综合性、设计性实验”。开放式实验室适应开放式实验教学、管理模式, 为设计性实验提供环境基础。

构建模块化与层次性的实验教学体系和个性化与发展性的实验教学质量评价体系, 在实验教学改革中取得了一定的成效。

1 实验教学现状与问题

1.1 现状

同济大学软件学院作为国家示范性软件学院, 一贯重视本科生基础实验环节的课程设置, 注重对学生实践能力和创新能力的培养, 多门专业基础实验课程均以独立设课的方式存在, 与相关基础理论课程相对应。其中, 面向软件工程专业本科生开设的“数字逻辑实验”就是这样一门实验必修课程。

该课程内容主要包括逻辑组合电路实验和时序控制电路实验两大部分, 旨在通过一系列的实验项目, 实践与理论相结合, 加深学生对“数字逻辑原理”理论课程知识要点的理解, 并具备一定的电路设计能力, 具有较强的工程实践性。该课程也是后续课程, 尤其是领域软件系统方向 (包括体系结构、嵌入式等) 的重要先导课程之一。

多年的教学实践表明, 独立设课的实验课程有效提高了学生对专业基础知识要点的理解和掌握程度, 为后续课程的学习打下了扎实的基础。然而, 实验教学内容和形式不应是一成不变的, 需要不断提炼和改进。

1.2 问题

根据软件工程专业课程体系的自身特点, 在“数字逻辑原理”理论课程教学中, 着重于对相关理论的阐述和介绍, 要求学生掌握数字逻辑的基本原理和电路的工作原理及其应用, 而没有过多涉及硬件设计方面的内容, 如HDL、FPGA、CPLD等。与此相适应, 实验项目的设置也围绕着中小规模集成电路芯片的应用为基础, 涉及到基本门电路、译码器、数据选择器、加法器、触发器、锁存器、计数器等相关芯片, 实验过程以接线调试为主要实验手段, 考核方式以现场验收和实验报告相结合为主。

基于上述情况, 存在的问题主要集中在3个方面:

(1) 实验教学面向软件工程专业, 学生主观上缺乏学习硬件知识的积极性, 认识上存在一定的误区。

(2) 验证性实验项目比例较高, 面对既定实验步骤, 学生进行“按部就班”的操作, 生搬硬套谋求实验结果。

(3) 在实验过程中遇到异常现象或结果, 学生不假思索会直接求助指导教师。

这样的实验课程学习效果显然达不到教学大纲的要求, 并不能加深学生对相关知识要点的理解和掌握。如何充分激发软件工程专业学生对于硬件实验的兴趣, 调动他们的主观能动性和创造力, 加强发现问题及解决问题能力的培养, 是摆在我们面前亟待解决的问题。

2 教学改革方案

2.1 开放式实验室

同济大学软件学院探索并实施开放式实验教学与管理模式, 主要体现为:

(1) 实验室在工作日全天候开放。

(2) 学生在集中听取实验章节后, 自主完成实验预习。

(3) 学生在提交预习报告后, 可以通过实验教学管理系统自行选择时段进行实验预约。

(4) 学生在登记后进入实验室自主完成实验, 实验教学管理系统统计实验时间。

(5) 允许学生在规定课时内未获得满意实验结果的情况下, 另选时段重做实验直至完成。

(6) 教师与助教提供全程、全方位的指导和帮助, 不仅在实验室现场, 还可以通过网络方式建立师生联系。

这种开放模式推行之初, 确实提高了学生的学习兴趣。大多数学生认可这样的自主学习氛围, 愿意在实验过程中主动思考、自行解决问题, 并体会到其中的乐趣, 也体会到科研工作的艰辛, 教学效果取得了一定成效。

但在随后的教学实践中, 成绩分析显示优良率和不及格率均有逐年上升的趋势, 呈现出两极分化的态势;成绩分布标准方差指数逐年上升, 成绩分布曲线有向马鞍型分布的发展趋向。如何在基础实验课程的教学中加强监管, 提高整体成绩水平, 基本杜绝不及格现象, 也需要在积累良好经验的同时, 对实验教学的实施过程加以改进。

2.2 实施方案

在总结以往经验的基础上, 着手对数字逻辑实验教学进行重新梳理和规划, 对该课程的教学方式做了一些改进, 主要围绕以下5个方面进行:

2.2.1 加强实验教学与理论教学的衔接

每学期在制订排课计划时, 实验教学和理论教学进行进度协商, 确保实验教学进程紧随理论教学的节奏进行安排。通常是相关知识要点学习后的1~2周内进行相关实验, 学生更容易接受。这个时间段内, 学生对涉及到的原理知识“记忆犹新”, 也可以通过实验操作“温故知新”, 有助于对相关知识要点和实验现象的理解和掌握, 做到知其然并且知其所以然。

2.2.2 规范整体教学流程

每学期首堂实验课, 安排专门的学时向全体学生介绍实验学习流程, 普及实验室安全知识和操作要求, 并带领学生进入实验室熟悉实验环境和试验设备, 认识集成电路芯片等主要配件, 掌握电路连接方法和万用表的使用方法。这样, 为整个实验课程的学习做了一个良好的铺垫, 也初步激发了学生的学习兴趣, 让他们面对实验课程心中有数, 不至于茫然无措。

实验过程仍以在实验箱上接线调试为主, 不盲目引入和开发虚拟实验系统, 旨在为学生营造一个真实的动手环境, 可以在实践中发现问题并加以解决。对于硬件实验中不可避免的设备故障及配件损耗, 则通过加强日常维护和更新来降低故障率, 尽可能保证实验顺利进行。目前, 在院校两级经费的支持下, 基本能做到主要实验设备5年左右分批次轮换更新, 实验易耗配件 (主要是集成电路芯片) 2年内进行补充更新。从另一个方面来看, 也教会学生一些基本的故障判断和问题排除方法, 这也有助于提升其动手能力。

2.2.3 改进逻辑电路综合设计实验

在完成一系列验证性实验之后, 设置逻辑电路综合设计实验, 并作为课程考核项目。该项目要求学生根据所学知识, 自行设计逻辑电路方案并加以调试实现。

在实验讲解中, 教师会提供4~5个方案作为蓝本, 如彩灯模拟电路、时钟模拟电路、抢答器模拟电路、电子锁模拟电路等, 供学生参考, 并明确鼓励自行设计的电路方案, 对自行设计方案提高评分点, 这样很好地调动了学生主动思考和创新的积极性。

设计实验安排4个学时, 分在两周进行。第一周, 在课堂集中讲解实验要求之后, 学生自由分组 (每组不超过4人) , 开始进行为期一周的方案设计。要求自行选择所需的集成电路芯片, 方案规模适中, 不盲目求大、求难;第二周, 进行现场接线调试并限时考核验收, 要求学生根据已经初步做好的方案原理图或接线示意图, 在两个学时内现场完成接线及调试任务, 并由指导教师进行验收。验收过程中需进行演示逻辑电路功能并接受答辩, 讲述设计思路和工作原理。设计实验的最终成绩由现场答辩分数和实验报告分数两部分组成。

2.2.4 把控实验报告质量

每个实验项目结束后, 都要求学生在规定时间内提交实验报告 (通常为两周, 留给学生充分的时间撰写报告) 。明确实验报告的规范编写格式, 加强对实验报告中小结部分的考核, 要求小结篇幅适当、内容充实, 杜绝空洞无物的语句。实验小结可以阐述对实验原理的理解, 对实验中遇到问题的思考, 还可以对实验方案提出建设性意见。

经过两年来的实践和改进, 学生的实验报告规范程度得到很大提高, 优良率始终保持在70%以上, 且稳中有升。每学期结束后, 对实验报告进行归档, 择优做成示范文档供后期学生参考。

3 实践成效

数字逻辑实验作为软件工程专业一门基础实验课, 并没有沦为数字逻辑理论课的附属课程, 而是与之相辅相成, 起到了良好的理论联系实际的作用。本文结合笔者的教学实践, 通过分析在以往实验教学中存在的问题, 给出了几点改革措施, 并进行了初步的实践。

改革后的实验教学经过两个年级的试行, 取得了较好的效果。

(1) 从教学过程来看, 由于融入大量思考性内容, 促使学生不能完全依赖于实验教材“埋头苦干”, 而必须投入到积极思考当中。在实验过程中, 学生的主观能动性和学习兴趣明显提高, 课堂气氛热烈, 学生之间的相互讨论成为常态现象, 也敢于对教材中存在的问题提出疑问。

(2) 从考核情况来看, 越来越多的学生选择自行设计内容作为考核项目, 更有一些学生主动在课外学习电路设计辅助软件 (如Multisim仿真软件等) , 进行方案设计, 极大提高了设计水平和效率。统计数据显示, 2013学年和2014学年学生自行设计方案的比例分别为18%和31%, 有较大提升。学生乐于在实验中融入自己的想法, 如“乒乓球模拟”“比大小”“节奏大师模拟”“音乐节拍器模拟”等, 思路之开阔让人耳目一新。

(3) 在实验报告方面, 内容和质量均有显著提升, 反映了学生分析问题、解决问题的能力得到提高, 对相关理论知识的理解也有所加深, 学生的创新能力也得到了发掘。其中2013学年数字逻辑实验成绩优良率达88.9%;而2014学年优良率更是达到92.1%, 系首次突破90%。

(4) 从成绩分布情况来看, 设计性实验的引入表面上看提高了实验难度, 但是成绩优良率并没有降低, 不及格人数也处在可控范围内 (不超过3%) 。其中, 2013学年数字逻辑实验不及格率为1.8%;2014学年无不及格现象, 也是首次实现全通过。

种种迹象表明, 在校院两级支持下, 在一系列的教改措施实行后, 数字逻辑实验作为一门基础硬件实验课, 在软件专业学生的心目中, 不再是一门枯燥无味、为学分而学习的实验课, 而是可以发挥自己主观能动性和创新才华的场所。

4 结语

通过一系列的改革措施, 引导并鼓励学生应用所学知识进行独立思考, 加强动手能力和解决问题能力的培养。由此可见, 规范的教学方法和创新的实验内容, 在学生的能力培养中都是非常必要的。数字逻辑实验课程的改革有效提升了实验教学质量, 为学生学习其他专业课程打下坚实的基础, 也改观了软件专业部分学生对硬件学习的抵触情绪, 从而有助于提升软件专业学生的综合素质, 促进高校人才培养与时代发展需要相适应。

参考文献

[1]张小林, 周美华, 李茂康.综合性、设计性实验教学改革探索与实践[J].实验技术与管理, 2007, 24 (7) :94-96.

14.数字逻辑电路总结 篇十四

2011-9-19

一、基本情况:

1.2.3.4.5.6.总 学 时: 学时比例: 学 分: 适用范围: 先修课程: 时 间:

32学时 1(课内): 1(课外)1.0学分

信息电子类专业

高等数学、物理、数字逻辑电路 2011.10~2012.3

二、教学要求:

预习要求:

1. 在进实验室前完成该实验相关的所有预习思考题和设计方案,将预习思考题的解答写在实验报告的实验原理部分,设计方案可先写在其他纸上。2. 如果有条件,可在宿舍将电路搭试好后再到实验室测试

3. 指导教师将不定期抽查实验预习情况,如果有2次或2次以上没有预习,实验总评成绩降一等

4. 预习中有问题可以登录电工电子实验中心的网站查找解答或提出问题。网址为:http://eae.seu.edu.cn,也可以直接发邮件给指导教师,具体邮件地址请询问指导教师。

实验要求:

1. 实验采用开放模式,集中授课时间为第6、8、12周(12周有强电实验安排的班级集中授课时间安排在13周),集中授课时间、地点按课程表执行。开放时间为周一的14:00~21:00,周二到周四的9:30~21:00,周五的9:30~17:00。第一次实验时请仔细阅读开放实验规章制度,并在以后的实验中认真遵守。2. 每次实验要带一卡通、元器件、面包板等。

3. 在开放时间进入实验室时,请在刷卡机上出示您的一卡通,在刷卡机分配的实验室和实验座位上完成实验。

4. 原则上每次开放实验至少要完成一项实验内容,单次实验时间不少于1个小时,否则将被通报,如有特殊情况请提前和指导教师联系。开放实验总时数必须达到15学时(12小时),否则将取消期末考试资格。

5. 实验开始前请先检查自己座位上的仪器,如有缺失和损坏请及时和值班教师联系,实验过程中如果发生仪器故障,也请和值班教师联系,值班教师检查确认后才可以更换,不允许自己更换。

6. 开始实验前请先在课程主页上查看和该实验相关的各种信息。实验中遇到的一般性问题应该自己解决,课程网站提供了一部分常见问题解答,可作为参考。确实解决不了再询问值班教师。对于课程网站上已经有答案的问题,值班教师将不予回答,请理解。

7. 实验中途请勿随意离开实验室,如确实有特殊情况请向值班教师请假或者刷卡下机。中途无故离开15分钟以上的属于严重违规行为,两次以上严重违规将取消期 1

末考试资格。

8. 如有元器件损坏,可到仪表室购买。

9. 实验完成后请关闭仪器电源、打扫干净实验桌面,仪器归位,如开放时间段必须刷卡下机。

实验报告要求:

1. 实验原理不需要大量的抄书上已有的内容,以回答每一节的思考题为主。

2. 记录实验数据时,书上已给出表格的按书上表格记录,没有的要自拟表格,原则上不允许不画表格记录数据。

3. 对于所有要求观察记录的波形,必须记录在坐标纸上,并标注波形的各项参数,特别注明的除外。

4. 所有的实验必须对测量过程中遇到的问题和结果做分析,可参考书上的实验结果分析讨论要点。

5. 如果采用计算机记录或处理数据的话,可将结果打印后贴在实验报告的相关位置。6. 如果有另外的预习报告,可粘贴在实验报告的最后一页。

7. 实验报告必须在指定时间完成并提交,如果有两次或两次以上无故迟交报告,实验总评成绩降一等。

第1章 数字逻辑电路实验基础(4学时)1.学习目标

(1)认识数字集成电路,能识别各种类型的数字器件和封装;(2)学习查找器件资料,通过器件手册了解器件;

(3)了解脉冲信号的模拟特性,了解示波器的各种参数及其对测量的影响,了解示波器探头的原理和参数,掌握脉冲信号的各项参数;

(4)了解逻辑分析的基本原理,掌握虚拟逻辑分析的使用方法;

(5)掌握实验箱的结构、功能,面包板的基本结构、掌握面包板连接电路的基本方法和要求;

(6)掌握基本的数字电路的故障检查和排除方法。

2.必做实验

(1)复习仪器的使用,TTL信号参数及其测量方法

用示波器测量并记录频率为200KHz的TTL信号的上升沿时间、下降沿时间、脉冲宽度和高、低电平值。

(2)1.9节实验:电路安装调试与故障排除

要求:测出电路对应的真值表,并进行模拟故障排查,记录故障设置情况和排查过程。

3.选做实验

1.5节实验:逻辑分析仪测量数字逻辑信号

4.时间要求

第6、7周内完成,第8周内交实验报告

第2章 门电路和组合逻辑(8学时)1.学习目标

(1)掌握TTL和CMOS器件的静态特性和动态特性测量方法及这些特性对数字系统设计的影响;

(2)掌握通过数字器件手册查看器件静态和动态特性参数;(3)掌握不同结构的数字器件之间的互连;

(4)掌握OC门和三态门的特性和使用方法;(5)加深示波器测量技术的训练;

(6)掌握小规模组合逻辑的工程设计方法;

(7)了解竞争和冒险的产生原因,消除方法,掌握用示波器和逻辑分析捕捉毛刺的方法。

2.必做实验

(1)2.5节 实验:门电路静态特性的测试

内容7.用OC门实现三路信号分时传送的总线结构 内容8.用三态门实现三路信号分时传输----①②(2)2.10节 实验:SSI组合逻辑设计及竞争-冒险现象

内容1.数值判别电路

内容4.停车场交通控制系统

3.选做实验

(1)2.5节 实验:门电路静态特性的测试

内容2.分别测量74LS04和74HC04的静态参数极限值,并计算噪声容限和扇出数。内容3.采用示波器X-Y方式测量并比较74LS04和74HC04两种器件的电压传输特性 Vo=f(Vi)

(2)2.7节 实验:门电路动态特性测试

(3)2.10节 实验:SSI组合逻辑设计及竞争-冒险现象

内容5.竞争-冒险现象的观察和消除

4.时间要求

第8周、第9周两周内完成,第10周内交实验报告

第3章 组合函数设计(4学时)1.学习目标

(1)掌握常用中规模组合逻辑器件的功能和使用方法;(2)掌握逻辑函数工程设计方法;

(3)了解存储器实现复杂逻辑函数的原理和存储器的使用过程。

2.必做实验

3.3 节实验:用MSI进行组合逻辑函数电路设计 内容①用多种方案设计1位全减器

内容②用一个4选1数据选择器实现逻辑函数 内容④血型配对

3.选做实验

3.5节 实验:用ROM设计组合逻辑函数电路

4.时间要求

第10周内完成,第11周内交实验报告

第4章 时序逻辑电路(8学时)1.学习目标

(1)掌握时序逻辑电路的一般设计过程;

(2)掌握时序逻辑电路的时延分析方法,了解时序电路对时钟信号相关参数的基本要求;(3)掌握时序逻辑电路的基本调试方法;

(4)熟练使用示波器和逻辑分析仪观察波形图,并会使用逻辑分析仪做状态分析。

2.必做实验

(1)4.4节 实验:触发器设计时序逻辑电路 内容2.广告流水灯 内容3.智力竞赛抢答器 内容5.序列发生器

(2)4.6节 实验:用时序功能块设计时序电路

内容1.简易数字钟

内容2.序列发生器

3.选做实验

4.6节 实验:用时序功能块设计时序电路

内容3.分频器

4.时间要求:

第12、13、14周内完成,第15周内交实验报告

第6章 小型数字系统设计 1.学习目标:

(1)综合前面所学的各项内容

(2)了解掌握数字系统设计的流程和方法(3)培养复杂电路连接和调试技能

2.提高实验:

6.3节 实验:小型数字系统设计(6.3.1与6.3.4中二选一)6.3.1十字路口交通信号控制电路 6.3.4 掷骰子游戏

3.说明

提高实验不计入总学时。

第7章 可编程数字系统设计基础(8学时)1.学习目标

(1)了解可编程数字系统设计的流程(2)掌握Quartus II 软件的使用方法

(3)掌握原理图输入方式设计数字系统的方法和流程

2.必做实验

(1)设计一个七人表决器,下载后验证其功能

用七个开关作为表决器的七个输入变量,输入变量为逻辑“1”时表示表决者“赞同”;输入变量为“0”时,表示表决者“不赞同”,输出变量为表决结果。输出逻辑“1”时,表示表决“通过”;输出逻辑“0”表示表决“不通过”。当表决器的七个输入变量中有4个以上(含4个)为“1”时,则表决器输出为“1”;否则为“0”。

(2)7.1.1节 简易数字钟的设计

3.时间要求:

下学期完成

期末考试:

第16周

备注:

第4章 4.6节的“简易数字钟实验”需由本班带班教师验收,第13、14两周内验收完毕。

成绩考核方法: 1.平时

30% 2.简易数字钟实验验收

30% 3.期末考试

上一篇:中医儿科学复习总结下一篇:校园招生处的工作计划