EDA复习总结

2024-08-01

EDA复习总结(精选9篇)

1.EDA复习总结 篇一

EDA技术教学总结与反思

熊碧虎

EDA技术是机电专业学生偏电方向必备的专业技能,是培养学生实践能力的重要课程之一。但要学好、掌握这项技术并不是件容易的事,因为这既要技术,又要创造力。这学期我首次接手16(20)班这门课程教学,通过一学期的教学实践和探索,我认为要想上好这门课,全面落实职业教育,高效、快速地提高实训教学质量,应注意以下几个问题:

一、明确学习目标。激发学习兴趣

作为职业类技术学校,机电专业学生在校期间还需学习文化课、专业课等其他课程,进行EDA实训的时间毕竟有限。再加上职业学校学生的成绩相对较差,素质普遍不高,自我控制能力一般不强。而EDA又是通过电脑操作来达到学习目的的,对计算机的使用要求高,所以学生很容易产生厌学情绪。这样下去,达不到实训的目的和要求。

因此在实践教学中首先应让学生了解EDA技术的重要性。让他们清楚专业技能是其区别于普通学校学生的特征,同时让他们知道练好专业技能,具备较高实际动手操作能力,对其今后的工作有着不可代替的重要意义,使学生真正在内心觉得有必要学好EDA技术。

二、切实加强基本功训练。

EDA的基本操作技能主要有设计方案、绘制原理图,创建PCB版图等。其中的设计原理图和PCB是非常重要的基本功,这些操作技能要通过大量的练习才能形成。在这个过程中,教师要加强指导,及时发现学生中出现的错误和各种具体问题并予以纠正。

三、培养学生动脑习惯。提高工艺分析能力

PCB制造工艺分析是非常重要的,它直接关系到电路板是否能保质保时完成。对于某些电路板来说,如果加工次序颠倒,既使技能基础再好,也无法达到技术要求,因此在学生初学时,教师要针对图纸要求,进行工艺分析,同时要引导学生把所学理论知识用到工艺分析中来。随着实习的深入和学生认知水平的提高,可以让学生自己编排一些简单的工艺,逐渐过渡到由学生自己分析讨论,教师归纳总结,这样有利于培养学生的独立工艺分析能力。

四、加强职业道德教育

职业道德教育也是EDA技术实训所不可忽视的一个重要方面。我们要将良好的德育教育贯穿于实训的全过程。从每天准点到达实训室,不旷课,不早退,遵守6S管理。另外,德育教育也是一个潜移默化的结果,它需要教师注重教书育人、为人师表,以严肃、科学的工作态度给学生一个良好的工作环境。从而使他们养成爱护劳动工具和成果,遵守劳动纪律的工作习惯,培养学生良好的职业道德观念和严谨细致的工作作风,促进学生身心健康发展。

今后的EDA技术实训教学中,本人会多学习、多总结、多反思不断的加强自己的的专业水平,从而为以后的教学打下坚实的基础,当然,自己也有很多不足的地方,以后会努力克服自己的缺点,认真做好教学。

2.EDA实验报告 篇二

张佳兴 2220131738 电气工程及其自动化1班

一、Verilog语言反应硬件特性举例

1.module cc(clk,en,cout)、input、output,这三个语句用Verilog语言定义了一个逻辑器件,module后边括号内为端口名称,每个端口都对应硬件的一个引脚,引脚的输入输出性质都由input、output所定义,C语言中对变量的定义,都是int等反应数据大小的数据类型,不能反映硬件特性。

2.reg寄存器类型,表示一个具有保持作用的数据储存单元,它只能在always语句和initial语句中被赋值,并且它的值从一个赋值到另一个赋值被保存下来。这种类型就和实际芯片中的寄存器作用一样,可以将其中数据状态保存一定时间,C语言中没有这一类型。

3.always语句当其检测到适当状态时,执行其中内容。Always @(posedge clk)语句就表明,检测到高电平执行,和实际芯片引脚状态变化引起内部变化原理一致,C语言中没有过程赋值这种语句,C中也没有对高低电平、上升下降沿的判断条件。

4.Verilog语言中的模块例化,将各个模块程序在例化程序里结合起来,在硬件层面就相当于将各个小的模块互相连接,构成一个大的模块,C语言中类似的形式是函数,一个函数可以有子函数,但是C中的函数不能反应硬件特性。

二、数字频率计设计与调试总结

在进行课程设计的过程中我遇到了以下几点困难:

1.在最初设计时,没能利用硬件的思想来设计这个题目,导致频率频率计数的逻辑控制部分设计不清。在参考老师所提供的框图后了解应将逻辑控制部分单独设计成一个模块,通过en和clr来控制计数,这样技术部分就可以将之前的实验内容移植过来,十分简便。

2.在设计过程中的,锁存部分原理没有搞懂。按照老师的框图,从前向后分析,发现锁存的时钟clk是之前逻辑控制部分的lock引脚所提供,这样就将每个周期所计得的频率结果在同一个时序通过锁存器向后传输。

3.配置引脚时出错,将数码管的位选引脚顺序弄反,导致数码管显示错误。仔细检查,发现错误,改正后正常运行。4.在拓展功能一的设计中,将十分频部分弄错,最后出来的结果和预期差了一些。在当堂实验课中,这个错误我没能及时纠正,回来之后,我对应程序认真检查,发现我的十分频,被我设计成了逢9进1,导致最后结果错误。

5.发现了自己很多语法问题,比如在过程赋值中对wire类型数据进行赋值导致错误,module定义的模块名称没有和文件名称对应导致错误等,最终我通过PPT及网络途径解决了这些问题。

在这次实验中,基本功能全部实现,并且是我自行制作,拓展功能一,同样是我自行完成,不过我当时得到的结果有误差,实验后我已经发现了问题,改正了错误。拓展功能二没有实现。

三、对课程的建议

1.我希望老师以后的实验过程中能够有一个答疑环节,在实验前,我们可以对预习中不懂的部分进行提问。

3.EDA结课论文 篇三

——微波炉控制器的应用实例

院(系、部): 姓

名: 学

号: 年

级: 专

业: 任课教师:

信息工程学院 安 蕊 080719 大学本科三年级 计算机科学与技术

李 洋

2010 年 11月 9 日·北京

目 录

一、EDA技术的发展及应用..................................1 1.EDA简介..............................................1 2.EDA发展史............................................1 3.EDA技术的发展趋势....................................2

二、EDA技术的工程应用实例................................3 1.设计的基本要求与内容.................................3 2.系统的分析设计方案...................................3 3.系统仿真结果.........................................6 4.设计技巧分析.........................................7 5.系统扩展思路.........................................7

三、心得体会.............................................8

四、参考文献.............................................9

一、EDA技术的发展及应用

1.EDA简介

EDA在通信行业(电信)里的另一个解释是企业数据架构,EDA给出了一个企业级的数据架构的总体视图,并按照电信企业的特征,进行了框架和层级的划分。EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪60年代中期从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。由于它是一门刚刚发展起来的新技术,涉及面广,内容 丰富,理解各异,所以目前尚无一个确切的定义。但从EDA技术的几个主要方面的内容来看,可以理解为:EDA技术是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以 计算 机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件的方式设计电子系统到硬件系统的一门新技术。可以实现逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化,逻辑布局布线、逻辑仿真。完成对于特定目标芯片的适配编译、逻辑映射、编程下载等工作,最终形成集成电子系统或专用集成芯片。

20世纪90年代,国际上电子和计算机技术较先进的国家,一直在积极探索新的电子电路设计方法,并在设计方法、工具等方面进行了彻底的变革,取得了巨大成功。在电子技术设计领域,可编程逻辑器件(如CPLD、FPGA)的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。

EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。

利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程的计算机上自动处理完成。

现在对EDA的概念或范畴用得很宽。包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。目前EDA技术已在各大公司、企事业单位和科研教学部门广泛使用。例如在飞机制造过程中,从设计、性能测试及特性分析直到飞行模拟,都可能涉及到EDA技术。

2.EDA发展史

EDA技术是伴随着计算机、集成电路、电子系统的设计发展起来的,至今已有30多年的历程。大致可以分为三个发展阶段。

20世纪70年代的CAD(计算机辅助设计)阶段:这一阶段的主要特征是利用计算机辅助进行电路原理图编辑,PCB布同布线,使得设计师从传统高度重复繁杂的绘图劳动中解脱出来。20世纪80年代的CAE(计算机辅助工程设计)阶段:这一阶段的主要特征是以逻辑摸拟、定时分析、故障仿真、自动布局布线为核心,重点解决电路设计的功能检测等问题,使设计而能在产品制作之前预知产品的功能与性能。

20世纪90年代是EDA(电子设计自动化)阶段:这一阶段的主要特征是以高级描述语言,系统级仿真和综合技术为特点,采用“自顶向下”的设计理念,将设计前期的许多高层次设计由EDA工具来完成。

EDA是电子技术设计自动化,也就是能够帮助人们设计电子电路或系统的软件工具。该工具可以在电子产品的各个设计阶段发挥作用,使设计更复杂的电路和系统成为可能。在原理图设计阶段,可以使用EDA中的仿真工具论证设计的正确性;在芯片设计阶段,可以使用EDA中的芯片设计工具设计制作芯片的版图:在电路板设计阶段,可以使用EDA中电路板设计工具设计多层电路板。特别是支持硬件描述语言的EDA工具的出现,使复杂数字系统设计自动化成为可能,只要用硬件描述语言将数字系统的行为描述正确,就可以进行该数字系统的芯片设计与制造。有专家认为,21世纪将是四A技术的高速发展期,EDA技术将是对21世纪产生重大 影响 的十大技术之一。

3.EDA技术的发展趋势

面对当今飞速发展的电子产品市场,设计师需要更加实用、快捷的EDA工具,使用统一的集成化设计环境,改变传统设计思路,将精力集中到设计构思、方案比较和寻找优化设计等方面,需要以最快的速度,开发出性能优良、质量一流的电子产品,对EDA技术提出了更高的要求。未来的EDA技术将在仿真、时序分析、集成电路自动测试、高速印刷电路板设计及开发操作平台的扩展等方面取得新的突破,向着功能强大、简单易学、使用方便的方向发展。

(1)可编程逻辑器件发展趋势

可编程逻辑器件已经成为当今世界上最富吸引力的半导体器件,在现代电子系统设计中扮演着越来越重要的角色。过去的几年里,可编程器件市场的增长主要来自大容量的可编程逻辑器件CPLD和FPGA,其未来的发展趋势如下:

1)向高密度、高速度、宽频带方向发展 2)向在系统可编程方向发展

3)向可预测延时方向发展

4)向混合可编程技术方向发展 5)向低电压、低功耗方面发展

(2)开发工具的发展趋势

面对当今飞速发展的电子产品市场,电子设计人员需要更加实用、快捷的开发工具,使用统一的集成化设计环境,改变优先考虑具体物理实现方式的传统设计思路,将精力集中到设计构思、方案比较和寻找优化设计等方面,以最快的速度开发出性能优良、质量一流的电子产品。开发工具的发展趋势如下:

1)具有混合信号处理能力 2)高效的仿真工具

3)理想的逻辑综合、优化工具

(3)系统描述方式的发展趋势 1)描述方式简便化

2)描述方式高效化和统一化

二、EDA技术的工程应用实例

——微波炉控制器的设计与分析 1.设计的基本要求与内容:

现需设计一个微波炉控制器WBLKZQ,其外部接口如图1所示。通过该控制器再配以4个七段数码二极管完成微波炉的定时及信息显示。

图1 微波炉控制器外部接口符号图

其中图1中的各信号的功能及要求如下:

CLK是秒时钟脉冲输入,它接收每秒一个时钟脉冲的节拍信号。RESET为复位信号,高电平有效,用于芯片的复位功能。TEST为测试信号,高电平有效,用于测试4个七段数码二极管工作是否正常。

2.系统的分析设计方案:

(1)微波炉控制器的总体设计方案

根据该微波炉控制器的功能设计要求,本系统可由以下4个模块组成:① 状态控制器KZQ;② 数据装载器ZZQ;③ 烹调计时器JSQ;④ 显示译码器YMQ47。其内部组成原理图如图2所示。

图2 微波炉控制器WBLKZQ的内部组成原理图

1)状态控制器KZQ的功能是控制微波炉工作过程中的状态转换,并发出有关控制信息;输入信号为CLK、TEST、START、SET_T、RESET和DONE,输出信号为LD_DONE、LD_CLK、LD_8888和COOK信号。

2)数据装载器ZZQ的功能是根据KZQ发出的控制信号选择定时时间、测试数据或烹调完成信息的装入。

3)计时器JSQ的功能是负责烹调过程中的时间递减计数,并提供烹调完成时的状态信号供KZQ产生烹调完成信号。

4)显示译码器YMQ47的功能就是负责将各种显示信息的BCD转换成七段数码管显示的驱动信息编码。需要译码的信息有:数字0~9,字母d、o、n、E。

(2)状态控制器KZQ的设计

状态控制器KZQ的功能是控制微波炉工作过程中的状态转换,并发出有关控制信息,因此我们可用一个状态机来实现它。经过对微波炉工作过程中的状态转换条件及输出信号进行分析,我们可得到其状态转换图如图3所示,其输入、输出端口如图4所示。

图3 KZQ的状态转换图

图4 KZQ的输入、输出端口图

(3)数据装载器ZZQ的设计

ZZQ的输入、输出端口如图5所示,根据其应完成的逻辑功能,它本质上就是一个三选一数据选择器。本设计采用一个进程来完成,但由于三个被选择的数据只有一个来自输入端口,因此另两个被选择的数据则通过在进程的说明部分定义两个常数来产生。

图5 ZZQ的输入、输出端口图

(4)烹调计时器JSQ的设计

烹调计时器JSQ为减数计数器,其最大计时时间为59:59。因此我们可用两个减计数十进制计数器DCNT10和两个减计数六进制计数器DCNT6级联构成。JSQ的内部组成原理如图6所示。

图6 JSQ的内部组成原理图

(5)显示译码器YMQ47的设计

本显示译码器YMQ47不但要对数字0~9进行显示译码,还要对字母d、o、n、E进行显示译码,其译码对照表如表1所示。

表1 YMQ47的译码对照表

3.系统仿真结果

(1)系统的有关仿真

状态控制器KZQ、数据装载器ZZQ和烹调计时器JSQ的仿真分别如图

7、图8和图9所示。

图7 状态控制器KZQ的仿真图

图8 数据装载器ZZQ的仿真图

图9 烹调计时器JSQ的仿真图

4.设计技巧分析

(1)在状态控制器KZQ中,利用状态机的设计方法简化了设计。

(2)在数据装载器ZZQ的设计中,利用三个装载信号的组合LD_8888 & LD_DONE & LD_CLK赋给变量TEMP,巧妙地解决了装载数据的选择问题。

(3)在烹调计时器JSQ的设计中,利用两个减法十进制计数器和两个减法六进制计数器的串级组合,非常简便地实现了59′59″数之间的计时和初始数据的装载。

5.系统扩展思路

(1)本微波炉控制器要求系统时钟CLK固定为1 Hz,而预置时间数据输入总线DATA0位数太多(为16位),因此我们可对该系统进行改进,增加一个分频电路FPQ和一个“虚拟式”按键预置数据输入电路YZDL(如图10所示),以使系统的通用性更好写。

(2)设计外围电路:系统用方波信号源,直流工作电源。

图10 微波炉控制器WBLKZQ扩充后的内部组成原理图

三、心得体会

这学期EDA课程历经了10周,在这半学期的日子里,付出了很多,流了不少汗水,但真的学到了很多很多的东西,不仅是课本上所讲过的知识,更有很多在书本上所没有学到过的知识。通过一次次的实验和这次论文,我在一步步加深对EDA的了解,让我对它也有了更加浓厚的兴趣。特别是在实验操作过程中,每一个子模块编写调试成功时,心里都特别的开心。但是在编写一些其他的程序时,也遇到了不少问题,出现很多错误,在老师的帮助以及自己的细心的检查下,终于找出了错误和警告,排除困难后,程序编译就通过了,心里也终于舒了一口气。

通过这学期的EDA课程使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正的学为所用,从而提高自己的实际动手能力和独立思考的能力。在实践的过程中会遇到问题,也会发现了自己的不足之处——对以前所学过的知识理解得不够深刻,掌握得不够牢固。

对于本次的论文,我同样是受益匪浅,查阅资料过程中进一步了解到了关于EDA技术的历史,更了解了EDA技术几十年来它的发展经历和作用,以及今后的发展方向和趋势,同时也再一次体会到了要将学到的知识与生活中的事物联系起来。通过对智力抢答器基本工作原理的理解与实际操作,我还基本掌握了EDA相关软件的使用方法。在整个过程中我虽然碰到了许多的问题,但是通过课上老师的详细讲解以及在网上搜集的资料,最后都得到了解决,可以说是取得了基本满意的成果。

在论文的最后,感谢李老师一学期的辛劳付出,为我们带来了生动的课堂知识,感谢周老师的耐心与帮助,使我们的实验能够圆满完成,在此,谢谢老师们了!!

四、参考文献

1、李 洋《EDA技术实用教程》(第2版)机械工业出版社2009.8 201-280

2、章彬宏《EDA应用技术》 北京 高等教育出版社 2007 76-93

3、包 明《EDA技术与可编程器件的应用》北京航天航空大学出版社 2007 58-72

4、潘松 王国栋《VHDL实用教程》(修订版)电子科技大学出版社 2001.7 13-24

4.eda实习报告 篇四

实验一、3/8译码器实现 ......................................................................................................... 2

一、实习目的: ................................................................................................................... 2

二、实验说明 ....................................................................................................................... 2

三、实验步骤 ....................................................................................................................... 2

四、实验结果 ....................................................................................................................... 3

实验二、BCD七段显示译码器 ............................................................................................. 4

一、实验目的 ....................................................................................................................... 4

二、实验说明及原理 ........................................................................................................... 4

三、实验要求 ....................................................................................................................... 6

实验感想一 ........................................................................................................................... 7

实验三、分频器的设计实现 ................................................................................................... 7

一、实验目的 ..................................................................................................................... 7

二、实验说明及原理 ......................................................................................................... 7

三、实验要求 ..................................................................................................................... 9

四、实验结果 ....................................................................................................................... 9

实验四 动态扫描数码显示器 ............................................................................................... 10

一、实验目的 ..................................................................................................................... 10

二、实验说明及原理 ......................................................................................................... 10

三、实验要求 ..................................................................................................................... 11

四、实验结果 ..................................................................................................................... 11

感想心得二 ......................................................................................................................... 12

实验五 24进制数字钟的设计 .............................................................................................. 13

一、实验目的 ..................................................................................................................... 13

二、实验说明及原理 ......................................................................................................... 13

三、实验要求 ..................................................................................................................... 14

四、实验结果 ..................................................................................................................... 15

感想心得三 ......................................................................................................................... 35

实验一、3/8译码器实现

一、实习目的:

1、学习Quartus2软件的使用方法

2、熟悉教学试验箱的使用

3、设计一个3/8译码器

4、初步掌握VHDL语言和原理图的设计输入,编译,仿真和调试过程

二、实验说明

3/8译码器的逻辑扩展功能如下:

本实验要求使用VHDL描述3/8译码器,并在实验平台上上面实现这个译码器。描述的时候注意VHDL语言的结构和语法,并熟悉Quartus2的文本编辑器的使用方法,尝试使用不同的VHDL语言描述语句实现3/8译码器。将程序下载到实验箱上,分别用按键和LED作为输入和输出对结果进行验证,进一步熟悉所用EDA试验箱系统。

所用器件:

EDA试验箱、EP1K10TC100―3器件

原理:由三个输入端A、B、C和八个输出端Y0、Y1、Y2、Y3、Y4、Y5、Y6、Y7组成,输入输出用二进制表示。

三、实验步骤

Quartus软件的使用方法:

1)在WINDOWS界面双击Quartus2图标进入Quartus2环境

2)单击file菜单下的New Project Wizard:Introduction 按照向导里面的介绍新建一个工程并把它保存到自己的路径下面

3)单击file菜单下的New,选择VHDL File,后单击OK,就能创建一个后缀为.vhd(*.bdf)的文件

4)输入完成后检查并保存,编译

5)改错并重新编译

6)建立仿真波形文件并进行仿真

7)选择器件及分配引脚,重新编译;根据引脚分配在试验箱上进行连线,使用LED进行显示

8)程序下载,观察实验结果并记录

四、实验结果

1、3/8译码器

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY yima IS

PORT(A:IN STD_LOGIC_VECTOR(2 DOWNTO 0);

DECODE38:OUT STD_LOGIC_VECTOR(7 DOWNTO 0));

END;

ARCHITECTURE one OF yima IS

BEGIN

PROCESS(A)

BEGIN

CASE A IS

WHEN “000” =>DECODE38 <= “10000000”;

WHEN “001” =>DECODE38 <= “01000000”;

WHEN “010” =>DECODE38 <= “00100000”;

WHEN “011” =>DECODE38 <= “00010000”;

WHEN “100” =>DECODE38 <= “00001000”;

WHEN “101” =>DECODE38 <= “00000100”;

WHEN “110” =>DECODE38 <= “00000010”;

WHEN “111” =>DECODE38 <= “00000001”;

WHEN OTHERS =>NULL;

END CASE;

END PROCESS;

END;

2、波形仿真

实验二、BCD七段显示译码器

一、实验目的

1、了解和熟悉组合逻辑电路的设计方法和特点

2、掌握LED显示器的工作原理

3、设计一个BCD七段显示的译码器,并在实验箱上实现你的译码器

二、实验说明及原理

LED数码显示是数字系统实验里面经常使用的一种器件,以为它经常显示的是十进制或十六进制的数,所以我们就要对实验里面用到的二进制数进行译码,将它们转换成十进制的或是十六进制的数,LED数码显示器分为共阴和共阳极两种,本实验使用的是共阴的连接,高电平有效。输入信号为D0、D!、D2、D3,相应的输出8段位a、b、c、d、e、f、g、Dp。它们的关系表格如下图:

下图为译码器逻辑图,请按图进行连线。

其中A、B、C、D按拨号开关,a、b、c、d、e、f、g、Dp和使能端AN(高电平工作)接数码显示接口,管脚映射均为I/O口,映射后,通过拨号开关改变输入二进制码,则输出数码管上显示相应的数值。

在实验中要注意显示器上每一段LED要和程序里面的对应。

5.EDA课程设计心得体会 篇五

这次EDA课程设计历时两个星期,在整整两个星期的日子里,可以说是苦多于甜,但是可以学的到很多很多的东西,同时不仅可以巩固以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。通过这次设计,进一步加深了对EDA的了解,让我对它有了更加浓厚的兴趣。特别是当每一个子模块编写调试成功时,心里特别的开心。但是在编写顶层文件的程序时,遇到了不少问题,特别是各元件之间的连接,以及信号的定义,总是有错误,在细心的检查下,终于找出了错误和警告,排除困难后,程序编译就通过了,心里终于舒了一口气。在波形仿真时,也遇到了一点困难,想要的结果不能在波形上得到正确的显示:在设定输入的时钟信号后,数字秒表开始计数,但是始终看不到秒和小时的循环计数。后来,在数十次的调试之后,才发现是因为输入的时钟信号对于器件的延迟时间来说太短了。经过屡次调试,终于找到了比较合适的输入数值:时钟周期设置在15秒左右比较合适。另外,Endtime的值需要设置的长一点:500us左右,这样就可以观察到完整的仿真结果。

其次,在连接各个模块的时候一定要注意各个输入、输出引脚的线宽,因为每个线宽是不一样的,只要让各个线宽互相匹配,才能得出正确的结果,否则,出现任何一点小的误差就会导致整个文件系统的编译出现错误提示,在器件的选择上也有一定的技巧,只有选择了合适当前电路所适合的器件,编译才能得到完满成功。

通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中遇到问题,可以说得是困难重重,这毕竟第一次做的,难免会遇到过各种各样的问题,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。

总的来说,这次设计的数字秒表还是比较成功的,在设计中遇到了很多问题,最后在老师的辛勤的指导下,终于游逆而解,有点小小的成就感,终于觉得平时所学的知识有了实用的价值,达到了理论与实际相结合的目的,不仅学到了不少知识,而且锻炼了自己的能力,使自己对以后的路有了更加清楚的认识,同时,对未来有了更多的信心。最后,对给过我帮助的所有同学和各位指导老师再次表示忠心的感谢!

PLC实训心得

和学别的学科一样,在学完PLC理论课程后我们做了课程设计,此次设计以分组的方式进行,每组有一个题目。我们做的是一个由三个部分组成的浇灌系统。由于平时大家都是学理论,没有过实际开发设计的经验,拿到的时候都不知道怎么做。但通过各方面的查资料并学习。我们基本学会了PLC设计的步聚和基本方法。分组工作的方式给了我与同学合作的机会,提高了与人合作的意识与能力。

通过这次设计实践。我学会了PLC的基本编程方法,对PLC的工作原理和使用方法也有了更深刻的理解。在对理论的运用中,提高了我们的工程素质,在没有做实践设计以前,我们对知道的撑握都是思想上的,对一些细节不加重视,当我们把自己想出来的程序与到PLC中的时候,问题出现了,不是不能运行,就是运行的结果和要求的结果不相符合。能过解决一个个在调试中出现的问题,我们对PLC 的理解得到加强,看到了实践与理论的差距。

通过合作,我们的合作意识得到加强。合作能力得到提高。上大学后,很多同学都没有过深入的交流,在设计的过程中,我们用了分工与合作的方式,每个人互责一定的部分,同时在一定的阶段共同讨论,以解决分工中个人不能解决的问题,在交流中大家积极发言,和提出意见,同时我们还向别的同学请教。在此过程中,每个人都想自己的方案得到实现,积极向同学说明自己的想法。能过比较选出最好的方案。在这过程也提高了我们的表过能力。

在设计的过程中我们还得到了老师的帮助与意见。在学习的过程中,不是每一个问题都能自己解决,向老师请教或向同学讨论是一个很好的方法,不是有句话叫做思而不学者殆。做事要学思结合。

通过本次设计,让我很好的锻炼了理论联系实际,与具体项目、课题相结合开发、设计产品的能力。既让我们懂得了怎样把理论应用于实际,又让我们懂得了在实践中遇到的问题怎样用理论去解决。

在本次设计中,我们还需要大量的以前没有学到过的知识,于是图书馆和INTERNET成了我们很好的助手。在查阅资料的过程中,我们要判断优劣、取舍相关知识,不知不觉中我们查阅资料的能力也得到了很好的锻炼。我们学习的知识是有限的,在以后的工作中我们肯定会遇到许多未知的领域,这方面的能力便会使我们受益非浅。

6.EDA读后感(范文模版) 篇六

在大二的下学期,我们做的数电课程设计用的EDA仿真工具,那时开始对EDA有了初步的认识。第一感觉就是EDA真的很方便,通过VHDL语言以及运行仿真在下载到芯片就可以模拟出相应硬件电路的区别。

在这学期我们开设了这们课,当然对EDA也有了更深的认识。EDA是电子设计自动化(Electronic Design Automation)的缩写。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。推荐三本有关EDA的书籍。《EDA技术及应用》本书主要讲述了EDA技术的特点、概念和数字系统的设计方法,VHDL语言的基本语法特点、程序结构、常用语句以及相关基础知识以及一些典型的基本门电路、组合逻辑电路和时序逻辑电路VHDL语言的实现方式。还有目前的主要的芯片制造商Aitera公司的综合开发软件的特点和使用,还有EDA技术在不同专业的工程应用案例以及一些综合实验教程。《数字电路EDA设计与应用》本书以数字电路的EDA设计为主线,结合丰富的实例,按照由浅入深的学习规律,逐步引入EDA技术和工具,图文并茂,重点突出。全书分为三部分。第一部分是基础篇,介绍EDA技术和硬件描述语言。第二部分是软件操作篇,主要介绍MAX+plus II和Quartus II软件工具的使用。第三部分是设计应用篇,通过大量典型的应用实例,使读者掌握数字系统EDA设计的方法和技巧。还有一本就是《EDA与可编程实验教程》本书主要就介绍一些EDA的实验教程,是不可或缺的实验性教程。

阅读的书籍:

1.《EDA技术及应用》 孙宏国,周磊编 北京机械工业出版社 2013

2.《数字电路EDA设计与应用》 聂小燕,鲁才编 北京人民邮电出版社 2010.04

7.EDA课程设计—自动售票机 篇七

EDA课程设计报告书

题目:

自动售票机

姓名: 班级: 学号:

成绩:

(注:此文件应以同学学号为文件名)

一、设计题目及要求 1.设计题目:自动售票机 2.设计要求:

⑴、每次投一枚硬币,但可以连续投入数枚硬币。硬币种类两种:1元和5角,各用一个按键表示。

⑵、设定票价为2.5元,每次售一张票。购票时先投入硬币,当投入的硬币总金额达到或超过票的面值时,用LED发出指示,这时可以按取票键取出票。

⑶、如果所投硬币超过票的面值则会有LED提示找零钱,取完票以后按找零键则可以取出零钱。

⑷、用两位数码管显示已投币金额,若刚好投币2.5元,取票后金额归零;若投币超过2.5元,取票后显示找零金额,按下找零键后金额再归零。

1总体设计的文字描述,即由哪几个部分构

二、设计过程及内容(包括○

2主要模块比较详尽的文字描成的,各个部分的功能及如何实现方法;○述,并配以必要的图片加以说明,但图片数量无需太多)1.总体结构如下:

总体设计思路:此自动售票系统总共有5个主要模块,分别是:累加模块,比较器模块,找零模块,数字转换模块,显示器模块。⑴、累加模块实现金额的累加功能。

实现方法:该模块设置3个输入口(包括5角、1元、复位),8个输出口(B1—B8)。该模块将在给五角或一元高电平的同时实现金额的累加,复位则会将会对其进行清零。该模块由一片8位的加法器,2片4位寄存器及简单门电路组成,利用8位加法器将输入的金额(5、10)进行二进制相加(00000101、00001010),通过寄存器后返回到加法器实现累加功能。复位键则与寄存器复位清零短CLRN相连,实现复位的功能。

⑵、比较器模块实现与票价进行比较的功能。

实现方法:该模块设置了8个输入口(A0—A7)1个取票口,4个输出口。该模块 将累加的钱币与2.5元的票价比较,如果累加金额高于票价则黄灯亮,小于票价则红灯亮,等于票价则绿灯亮。给取票输入端高电平则会出票。该模块由一片八位比较器及门电路组成,输入的信号与二进制的票价相比较(00011001)。⑶、找零模块实现大于票价找零钱的功能。

实现方法:该模块设置了2个输入口(zhaoling,H),8个输出口(E1—E8)。该模块将在输入金额大于票价及出票之后的时候给予高电平,使在显示器中显示5。该模块由两个四位寄存器及少量门电路组成。给zhaoling输入口高电平,使寄存器工作,之后输出所找的零钱(二进制输出),通过数码管显示出来。⑷、数字转换模块实现TTL 二进制—BCD代码转换的功能。

实现方法:该模块设置了8个输入口(S1—S8),和8个输出口(C1—C8)。该模块由3个TTL 二进制—BCD码转换器及门电路组成。将需要数码管显示的数字二进制代码输入将输出相应的BCD码。即用4位二进制数来表示1位十进制数中的0~9这10个数码。

⑸、显示器模块实现将在数码管上显示数字的功能。

实现方法:该模块设置了8个输入口(A1—A7)输入相应的BCD码,7个输出口(Y1—Y7)输出相应的使数码管亮的代码及另外3个输出口(str1,str2,str3)控制相应的数码管亮。该模块由1个四位二进制计数器和双四选一数据选择器和1个七段译码器及相应门电路组成。将BCD码输入进去,通过计数器控制双位四选一数据选择器的输入端(00或01)。s0—s3通过00控制IC0的输出,此时str2 str1 str0通过000来控制第一个数码管亮,s4—s7通过01控制IC1口的输出,此时str2 str1 str0通过001来控制第二个数码管亮,将选择的输输入到七段译码器中将进行译码使数码管显示相应数字。2.各个模块电路图及仿真模型 总体电路图:

超过2.5元即3元时结果如下:

当正好为2.5元时,结果如下:

⑴、累加模块

累加模块仿真结果如下: ⑵、比较器模块

比较器模块仿真模型:

⑶、找零模块

找零模块仿真结果:

⑷、数字转换模块

数字转换模块仿真结果:

⑸、显示器模块

显示器模块仿真结果:

三、设计结论(包括设计过程中出现的问题;对EDA课程设计感想、意 见和建议)

经过一周多的课程设计,我受益匪浅,学到了团队合作,提高信息检索能力的重要性。在这次设计中遇到了很多实际性的问题,在实际设计中才发现,书本上理论性的东西与在实际运用中的还是有一定的出入的,所以有些问题不但要深入地理解,而且要不断地更正以前的错误思维。一切问题必须要靠自己一点一滴的解决,而在解决的过程当中你会发现自己在飞速的提升。在实验过程中,根据任务书的要求,查找资料,设计了电路方案,在差额计算模块、投币模块、选票模块,有几种预想方案,和同组人员仔细分析后确定了一套简单实用的方案。

在课程设计过程中,其中最具有代表性的错误就是累加模块。当信号输入时,输入信号与寄存器储存信号相加时出现严重的延时问题,后来在老师以及同组同学的努力下,加入了延时器,解决了这个问题。还有在试验箱进行仿真时,发现显示数字很不准确,在查阅资料和老师的帮助下,意识到是输入抖动问题,我们在输入端口加入了防抖动电路,很好的解决了这个问题。

在进行硬件方面测试的过程中,也遇到了一些问题,在进行数据累加的过程中,数据很不稳定,我们在摁键处加入了防抖动电路,便解决了这一问题。对设计的建议:

⑴课程设计是理论与实际相结合的应用,对我们的学习帮助很大,让我们更好的掌握所学知识,希望以后能更多的开展这样的活动,让我们有更多的机会运用所学的知识。

⑵实际电路中,有些模块在模拟软件中无法进行模拟仿真,这让我们对自己设计的电路的可用性有些疑惑,希望学校能给我们提供能让我们进行实际仿真的实验室,让我们能更加完善自己的电路。

8.EDA复习总结 篇八

摘要:EDA技术结合数字电路课程设计课程是新教育改革的体现,创新的教学模式开启了学生的智慧,增强实践性与逻辑思维,激发学生热情。在阐述了EDA的特征及优势的同时,探究了它与数字电路课程设计的过程,最后对以新课程改革的观点分析课程设计的优势。

关键词:EDA技术 数字电路设计课 新课程改革

引言

随着社会科学技术不断发展,培养四有新人的重任略显重要。在日常生活中随处可见EDA技术的应用,电子信息时代,逐渐被HTML描述性语言代替。传统的理念及设计手段已经不能完全满足现代企业和社会的需求。在EDA(EleCTRonICs Design Automation)技术基础上,融入到数字电路课程是教育时代的要求,也是教学改革的新课程的要求。国家不仅重视创新发展,更注重培养人才,课程设计,直接影响学生的思想和培育。

1.EDA技术的特征及优势

1.1简单易于操作

计算机行业中,软件硬件的应用是相互结合的。那么,关于EDA技术应用的性质特征为整个设计过程简单可操作性强。在此方面涉及方面比较广泛,内容相对丰富,通过硬件描述与软件开发工具,实现特定的测试电路设计,在修改方面也达到便利的效果。

1.2产品的互换性强

EDA技术在设计上实现了逻辑编程器件,应用上可以自动的检测、编辑,以及对一些程序的重新建构,对其进行修改。设计芯片方法灵活性强,有别于传统的设计思路,在使用效率方面得到显而易见的效果。因而,产品的互换性较强。

1.3自动性能高

在传统的设计上,需要技术人员的操作,在人员辅助下操作完成设计。EDA技术设计突破以往的多人操作的难点,实现自动化设计。这不仅在人员调动方面节约了成本,而且实现了自动化设计。在性能上达到优化,测试全过程及及结果将会自动完成。

2.基于EDA技术结合数字电路课程设计的探究

2.1设计方法与要求

EDA在设计方法上遵循技术改革创新方式,将其传统的设计概念中,加入新的焊接模式的转变,达到了计算机自动化的性能;在设计要求上,运用于数字系统中,例如,在设计数字闹钟的过程中,增加了计时、整点报时等功能。在设计流程上,使用芯片也比传统芯片更实用。

2.2适配器件如何应用

这时代,EDA设计的特点,在底层配件上都尽显完善,适配对象包括布局线都进行了逻辑性操作。这增加了仿真设计的效果。根据所需要的设计文件类型,完成自动化设计过程。若设计有误,可自动下载编程,进行修改。可见器件的适配设计在实际应用中发挥其明显作用。

2.3编码电路与译码电路共占195个逻辑单元

数字电路课程设计应用在EDA中,通过目标系统,使用描述性编码完成设计工作,编译码电路在出错后会自动改错,编码电路与译码电路共同实现了逻辑性的功能,这个过程,体现了EDA技术在数字电路中越来越重要。

3.突破传统教学教程,注重能力的培养

3.1跟上时代脚步,注重教程改革

电路数字课程设计是电子信息专业的一门基础课程。教学课程方面,比以往的教学方案中增加更多互动模式,传教方式灵活简单易懂,注重培养学生的实践能力。目前,EDA技术涉及的领域遍布全国,它的发展已经步入科技前沿。

3.2根据社会需要,学以致用

数字电路课程设计,应用于实际生活的每个层面。在学校、医院、楼层、社区、企业、家庭、交通等领域中,随处可见,例如在医院里,病床疾病呼叫,还有密码解锁、楼层内的控灯、触摸延时灯、数字钟、还有循环彩灯以及在交通运输方面使用的交通灯等,要结合实际需求,达到教学与实践相结合。

3.3技术与课程设计相结合,利于能力的培养

DEA技术与数字电路课程设计的结合,在给学生逻辑思维上的灌输通通明朗。不论在教学教程上还是培育学生上都得到了实质性的提高。传统的数字电路课程设计制约了学生的分析能力,固定的教学模式,限制了学习设计思路,及其独立设计与组装的能力。因此,注重教学课程改革与培养实践技能成为发展趋势。

4高校开展EDA技术课程,教育教学不断完善

就目前状况来看,EDA技术的课程与实践课开展的十分普遍,对于高职电子专业人员来说,综合EDA技术的数字电路课程设计综合的实现了学生的应用能力,这是技术理论上的一场革命性的训练。新课程的培养目标理念深厚,这种教学方式,贯彻了“三个代表”的重要思想。

在课程上新改革,例如以往的法务部与税务部的分割线比较明显,而在大时代背景下,需要新型人才,也需要在企业中事倍功半,在以往的教程上综合了法务与税务的知识,在新课程的推动下,出现法务税务师,这不仅节约了人才,而且自身能力提高,实现个人独特的价值。基于EDA技术的数字电路课程设计理念也是如此,为节约人才与新型技术人员的培养提供可行性的策略。

总结

数字电路课程设计思路有两个,一个是仿真电路设计,一个是应用设计印刷电路板。课程设计的教程实践将会实现个人的独立设计与创新能力。能够培养具有逻辑性的思考和解决问题的高素质人才,提高学生积极性与学校热情,是实现基于EDA技术的数字电路课程设计的关键所在。

参考文献

9.EDA技术应用相关文章观后感 篇九

EDA(Electronic Design Automation)电子设计自动化技术是电子信息类专业的必修课程,尽管我们测控专业的培养方案的指导课程计划中将EDA课程指定为专业选修,但就目前电子产品的迅猛发展,越来越多的电子设计型人才的需要,学好一门实用的技术,对于像我们这样的工科专业的学生来说,无疑的为自己即将面临的就业增添更广的方向。测控技术与仪器专业(又称仪器仪表专业)所用到的就是检测与控制相关的仪器仪表的操作与设计,这些都与电子器件的设计密切相关的,因此对于EDA课程,我们要摆正学习的态度,不仅仅是停留在了解EDA技术上,而是要有既然接触了,就要掌握这门技术的毅力与决心。

EDA课程是以理论知识和实验实践相结合的授课方式进行的,因此不仅要求我们具有扎实的理论功底,还要有一定的动手能力及软件编程能力,这就要求我们课下要多多进行仿真,只有多搭建硬件、多编程才能在更好的理解和掌握EDA这门技术~

所看文章题目:

①“EDA技术与应用”实验与实践教学改革 梁洪卫、高丙坤 东北石油大学 电气信息工程学院 黑龙江 大庆 163318

②“EDA技术与应用”(第三版)江国强电子工业出版社 ISBN:978-7-121-10475-6

③“EDA技术与应用” 讲义 课程介绍豆丁网

建议和想法:

①、建议老师在实验课仿真之前最好将本次所做实验大致讲解一下,比如实验时注意的一些事项,尽量使大家能够懂得原理后再进行仿真,这样可能会有更好的效果。

②、可以尝试在理论课上进行EDA仿真,也就是利用多媒体,一边讲授,一边仿真,有利于同学的理解和掌握。

上一篇:小学语文国培感言下一篇:快递客服个人工作总结2020