组合逻辑电路实验设计

2024-10-17

组合逻辑电路实验设计(精选11篇)

1.组合逻辑电路实验设计 篇一

第10章

组合逻辑电路

(1)数字电路分类:①组合逻辑电路(简称组合电路);②时序逻辑电路(简称时序电路,第10章介绍)。(2)组合逻辑电路:任何时刻电路的输出状态只取决于该时刻的输入状态,而与该时刻以前的电路状态无关。(例如:编码器、译码器、全加器等等)

(组合逻辑电路的基本单元电路是门电路,描述组合逻辑电路逻辑功能的方法主要有:逻辑表达式、真值表和工作波形图。)

10.1 组合逻辑电路的分析和设计 10.1.1 组合逻辑电路的分析

组合电路分析:就是根据已知的组合逻辑电路,找出组合电路的输出与输入的关系,指出电路所能实现的逻辑功能。(电路图已知,求真值表。)分析步骤:

(1)由逻辑图写出输出端的逻辑表达式;

(2)运用逻辑代数将所得逻辑函数表达式化简或变换;(3)列出输入输出关系的逻辑状态表(即真值表);(4)分析电路的逻辑功能。(书上206页,例题10-1)10.1.2 组合逻辑电路的设计

组合电路设计的含义:组合电路的“设计”(或称“综合”)与组合电路的分析方法相反,它是根据要完成的逻辑功能,画出实现该功能的最简逻辑电路。

最简的含义:器件数最少,器件种类最少,连线最少。组合逻辑电路的设计步骤:

(1)根据逻辑问题的逻辑关系,确定输入变量和输出变量;(引起事件的原因定为输入变量;事件的结果定为输出变量。)(2)根据给定的因果关系写出逻辑真值表;(3)由真值表写出逻辑函数表达式;

(4)根据所用的器件类型,将函数化简,把变量变换成所需要的形式;(5)根据化简或者变化后的逻辑表达式画出电路图。

注意:在设计当中,应该根据所用门电路的类型来化简逻辑表达式。(例如:用与非门设计时,应把逻辑函数表达式变换为最简的与非表达式。)(书上208页,例题10-

3、10-

4、10-

5、10-6)10.2 常用集成组合逻辑电路

(在数字电路中,常用的组合电路有加法器、编码器、译码器、数据分配器和多路选择器等。下面几节分别介绍这几种典型组合逻辑电路的基本结构、工作原理和使用方法。)10.2.1 编码器

在数字电路中,为了区分一系列不同的事物,将其中的每个事物用一系列逻辑“0”和逻辑“1”按一定规律编排起来,组成不同的代码来表示,这就是编码的含意。)

(1)编码:把二进制码按一定规律编排,使每组代码具有一特定的含义,称为编码。(2)编码器:完成编码功能的电路统称为编码器。

1、二进制编码器

(1)普通二进制编码器:用n位二进制代码对N2个信号进行编码的电路称为二进制编码器。如图10-13所示,为与非门组成的3位二进制编码器的电路图。又称8线—3线编码器。

① 二进制编码器对输入信号的规定:在任何时刻只允许一路有效输入信号到达编码器的输入端,而不允许有两个或两个以上的有效输入信号同时出现在编码器的输入端。

② 由电路图可知,此编码器有8个输入Y0Y7,3个输出可A、B、C。

nAI4I5I6I7③ 根据电路图可以得到各个输出的表达式:BI2I3I6I7

CIIII1357(如果我们规定,在任一时刻只能有一路输入端有信号到来,其余输入端均无信号到达。有信号用“1”表示,无信号用“0”表示。则图10-13所示的逻辑电路可完成八路输入信号的编码(全0为Y0输入有效)。)④ 表10-6给出了图10-13所示二进制编码器的的真值表。

⑤ Y0Y7八路输入信号编码分别为000、001、010、011、100、101、110、111。

(它用3位二进制代码对8个输入信号进行编码,所以图10-13所示的逻辑电路为3位二进制普通编码器,又称为8线—3线编码器)

(当编码器的输入端输入信号不止一个时,输出为全1,编码器的输出发生混乱。这是因为普通编码器不允许两个及以上的输入信号同时有效的缘故。可在实际应用中,往往有二个输入端或者二个以上的输入端有信号同时到达编码器,因此,普通编码器缺乏实用性。解决的方法是采用优先编码。)(2)二进制优先编码器(74LS148(T4148):8线—3线)

① 优先编码:所谓优先编码,就是将所有的输入信号按优先顺序进行排队。当几个输入信号同时出现时,只对其中优先级别最高的一个进行编码。实现优先编码的电路称为优先编码器。

(也就是说,允许几个信号同时有效,但电路只对其中优先级别高的信号进行编码,而对其它优先级别低的信号不予理睬。)

② 74LS148优先编码器以低电平输入为有效信号,各输入信号按照I7I0优 先级逐渐降低,I7的优先级最高,I0的优先级最低。③ 74LS148芯片的引脚图如图10-14所示。1)紧靠四边形的小圆圈表示“低电平为有效信号”。2)四边形内部标注为引脚功能说明。3)四边形外部标准为引脚编号。

4)ST:为选通输入端,低电平有效。当ST0时允许编码,芯片工作; 当ST1时输入、输出及控制引脚YEX、YS均被封锁,编码被静止。(ST1时,输出均被锁定在高电平)

5)YS:为选通输出端,只有当所有的编码输入都为高电平,且ST0时,YS0表示电路工作,但无编码信号输入,级连时可以扩展优先编码功能。

6)YEX:为优先扩展输出端,级连时可作输出位的扩展端。

只要有任何一个编码输入,且ST0时,YEX0表示电路工作,有编码信号输入

7)级联应用时,高位片的YS端与低位片的ST端连接起来,高位片的YEX可作为高位的编码输出位。74LS148芯片的逻辑功能如表10-7所示,为二进制优先编码器的真值表

74LS148为二进制优先编码器,其输入输出都是低电平有效。所以,输出为十进制数码07对应的二进制码的反码。例如:数码“0”的二进制码为“000”,而74LS148编码器输出为反码“111”。也就是说,当输入为数码“0”(即I0为0)时,输出为“111”。

(要想I0有效,其它引脚I1I7都必须接高电平,使其无效,因为I0引脚的优先级别最低。)(需要大家掌握的就是74LS148芯片的逻辑功能、真值表和它的芯片引脚。)

2、二—十进制编码器(1)常用二—十进制编码

二—十进制码(又称BCD码):用二进制码表示一位十进制码的编码称为BCD码。常用的BCD码有8421码(8421分别代表位权)如数字5的8421码为“0101”。(表10-8给出了几种常见的BCD码)

其余码制还有2421码,其权值依次为2、4、2、1;余3码,由8421BCD码每个代码加0011得到;格雷码是一种循环码,其特点是任意相邻的两个数码,仅有一位代码不同,其它位相同。(2)8421BCD编码器

① 8421BCD编码器:将十进制的十个数码09编成二进制代码的电路。如图10-15所示为8421BCD编码器的框图。

(8421BCD编码器的工作原理与二进制编码器类似。)

② 由框图可知,此编码器有10个输入I0I9(对应十进制的10个数码09),4个输出为A、B、C、D。

8421BCD码编码器编码表如表10-9所示。

AI1I3I5I7I9BIIII 2367③ 根据表10-9可以得到各个输出的表达式:

CI4I5I6I7 DI8I9 ④ I0I9十路输入信号(数码09)编码分别为0000、0001、0010、0011、0100、0101、0110、0111、1000、1001。

(它用4位二进制代码对10个(I0I9)输入信号进行编码,所以二—十进制编码器又称为10//4线编码器。)(实际上四位二进制代码可以表示十六种不同的状态,其中任何十种状态都可以表示09十个数码,最常用的是用8421码来对十进制码进行编码。)

(3)二—十进制优先编码器(74LS147:10线—4线)

① 74LS147优先编码器以低电平输入为有效信号,各输入信号按照I9I0 优先级逐渐降低,I9的优先级最高,I0的优先级最低。② 74LS147芯片的引脚图如图10-16所示。

1)紧靠四边形的小圆圈表示“低电平为有效信号”。2)四边形内部标注为引脚功能说明。3)四边形外部标准为引脚编号。

4)芯片第16脚为电源,第8脚为地,第15脚为空脚。③ 74LS147芯片的逻辑功能如表10-10所示,为 二—十进制优先编码器的真值表。

(需要大家掌握的就是74LS147芯片的逻辑功能、真 值表和它的芯片引脚。)

74LS147为二—十进制8421BCD优先编码器,其输 入输出都是低电平有效。所以,输出为十进制数码

09对应的8421BCD码的反码。

例如:数码“0”的8421BCD码为“0000”,而74LS147编码器输出为反码“1111”。也就是说,当输入为数码“0”(即I0为0)时,输出为“1111”。

(要想I0有效,其它引脚I1I9都必须接高电平,使其无效,因为I0引脚的优先级别最低。)10.2.2 译码器

(1)译码器的功能:译码是编码的逆过程,即将每个二进制代码赋予的含义翻译出来,给出相应的输出信号。实现译码操作的电路称为译码器。

(或者说,译码器是可以将输入二进制代码的状态翻译成输出信号,以表示原来含义的电路。)(2)译码器分类

① 变量译码器:表示输入变量状态的组合电路,如二进制译码器。

② 码制变化译码器:用于一个数据的不同代码之间的相互变换,如二—十进制译码器。③ 显示译码器:将数字、文字、符号的代码译成数字、文字、符号的电路。

1、二进制译码器

(1)二进制译码器:二进制译码器的输入是一组二进制代码,输出则是一组高、低电平信号。它具有n输入端,2个输出端。对应每一组输入代码,只有其中一个输出端为有效电平,其余输出端为无效电平。(表10-11给出了二进制译码器真值表,这是一个3线—8线的译码器。)

二进制译码器是常用组合逻辑芯片,应用十分广泛,相应的集成译码器产品也较多,按照输入、输出线的多少有二-四译码器、三-八译码器、四-十六译码器等。可通过74LS138来理解(2)三—八译码器74LS138(图10-18给出了74LS138芯片的引脚图)

① A2、A,Y0Y7为译码器的输出端。

1、A0为译码器的输入端(又称为地址端)② S1、S2、S3为控制端:当S11,S2S30时,译码器工作。③ 译码器工作时,其输出函数Y0Y7的表达式为:Yimi

(Y0Y7为A2、A1、A0三个变量的全部最小项的译码输出,所以将这种译 码器称为最小项译码器。)④74LS138的真值表

(书上215页,例题10-

7、例题10-8)例题10-7 分析:整个级联电路的控制端为S,它的取值将决定芯片的工作状态。(1)S1时

① 片1:S1S21,因为只有当ST1,S1S20时,译码器工作,所以片1禁止工作; ② 片2:S1S1S21,因为只有当ST1,S1S20时,译码器工作,所以片2禁止工作 结论:S1时,级联电路被禁止(2)S0,A30时

① 片1:S0S20,当高位A30S10,此时片1工作

n② 片2:S0S1S20,但由于A30ST0,所以片2禁止工作 结论:当S0,A30时,片1工作,片2禁止工作。此时,输出Z0Z7是。0A2A1A0的译码(即00000111)(3)S0,A31时

① 片1:S0S20,当高位A31S11,此时片1禁止 ② 片2:S0S1S20,且A31ST1,所以片2工作

结论:当S0,A31时,片1禁止工作,片2工作。此时,输出Z8Z15是1A2A。1A0的译码(即10001111)例题10-8:用译码器实现组合逻辑电路

n位二进制译码器的输出给出了n变量的全部最小项,利用附加电路可获得任何形式输入变量数不大于n的组合逻辑函数。

注意:由于译码器输出端都是低电平有效,所以应该把得到的最小项变换为非的形式Yimi

2、二—十进制译码器

(1)二—十进制译码器:将十进制数的二进制编码即BCD码翻译成对应的十个输出信号,称为二—十进制译码器,也叫做4线—10线译码器。

(2)四—十译码器74LS42(图10-21给出了74LS42译码器的逻辑图。)

① A3、A2、A,Y0Y9为译码器的输出端。

1、A0为译码器的输入端(又称为地址端)② 译码器工作时,其输出函数Y0Y9的表达式为:Yimi(四—十译码器与二进制译码器基本类似,以低电平作为有效输出)

注意:74LS42的功能:只是将前十种编码(09)译码,对1015的编码,译码输出全为1。(3)二—十进制译码器的输出表达式,根据逻辑图可得

Y0A3A2A1A0 Y1A3A2A1A0 Y2A3A2A1A0 Y3A3A2A1A0 Y4A3A2A1A0 Y5A3A2A1A0 Y6A3A2A1A0 Y7A3A2A1A0 Y8A3A2A1A0 Y9A3A2A1A0

(4)二—十进制译码器的真值表(如表10-12所示)

3、显示译码器

(在数字电路中,常常需要把运算结果用十进制 数显示出来,这就要用显示译码器)

(用来驱动各种显示器件,把用二进制代码表示的数字、文字、符号翻译成人们习惯的形式直观显示出来的电路称为显示译码器。数码显示管是常用的显示器件之一。)(1)半导体数码管

常用的数码显示管有半导体发光二极管构成的LED和液晶数码管LCD两类。(我们常见的都是LED)① 数码管:数码管是显示数码的常见器件。它是用某些特殊的半导体材料分段式封装而成。

(用磷砷化镓作成的PN结,当外加正向电压时,可以将电能转换成光能,从而发出清晰悦目的光线。)

(图10-22为数码管的管脚排列图,图,中间两个引脚为八个LED的公共端。由于二极管具有单向导电性,因此,数码管具有共阴、共阳两种类型)② 共阳数码管和共阴数码管

各个二极管的阳极相互连接组成公共端,为共阳数码管;各个二极管的阴极相互连接组成公共端,为共阴数码管。(LED数码管将十进制数码分成七段,每一段都是一个发光二极管,七个发光二极管有共阴极和共阳极两种接法。共阴极数码管某一段接高电平时发光,共阳数码管某一段接低电平时发光。)(2)七段显示译码器

① 七段显示译码器:七段显示译码器的功能是将8421BCD代码译成对应的数码管的七个字段信号,驱动数码管,显示出相应的十进制数码。

(七段显示译码驱动芯片种类较多,驱动共阳数码管的译码芯片有74LS47、74LS247等;驱动共阴数码管的译码芯片有74LS48、74LS248。我们通过74LS48来理解)

② 驱动共阴数码管的译码芯片74LS48(表给出了74LS48的功能表(真值表))

1)当BIRBILT1时,74LS48正常译码09

; 2)当BILT1,RBI0时,74LS48译码为19 ③ 74LS48与数码管的联接

将74LS48芯片的BI、RBI、LT接电源,七个输出接数码管的对应管脚,则构成了七段显示译码器。(如图所示)

74LS48真值表

74LS48与数码管的联接图

例如:当输入A3A2A1A00001时,74LS48将产生让共阴 数码管显示数字1的七段字型码“0110000”,即输出 引脚B、C为1,其余为0。

结论:七段显示译码器每个输入代码对应的输出不是某 一根输出线上的高、低电平,而是另一个七位的代码。(右图为七段显示译码器状态表,给出了显示的代码。)10.2.3 运算电路 数字运算电路:实现算术运算和逻辑运算的电路统称为数字运算电路。

算术运算电路一般执行加、减、乘、除四则运算;逻辑运算电路实现逻辑和、逻辑乘、逻辑非等逻辑运算。

1、加法器

(1)半加器和全加器

① 一位半加器:不考虑来自低位的进位的两个一位的二进制数的加法 运算,称为一位半加运算。实现一位半加运算的电路为一位半加器。(半加器的电路图如图所示,图10-23(a)给出了一位半加器的逻辑符号)1)输入和输出

输入端Ai、Bi:Ai、Bi为两个一位的二进制加数和被加数。

输出端Si、Ci:Si为相加后的和数(即本位和);Ci为向高一位的进位数(即进位位)。2)真值表

(书上没有)

3)由真值表写出输出表达式SiAiBiAiBiAiBi

CiAiBi ② 一位全加器:考虑来自低位进位数的两个一位二进制数的加法运算,称为全加运算。实现全加运算的电路称为全加器。(图10-23(b)给出了一位全加器的逻辑符号)1)输入和输出

输入端Ai、Bi、CIi1:Ai、Bi为两个一位的二进制加数和被加数;

CIi1为来自低位的进位数。

输出端Si、Ci:Si为相加后的和数(即本位全加和);Ci为向 高一位的进位数,(即进位位)。2)真值表

(书上没有)3)由真值表写出输出表达式

① SABCIABCIABCIABCI(ABAB)CI(ABAB)CI

设SABABAB为半加器的本位和,则有:S(ABAB)CI(ABAB)CISCISCISCI(SABABABAB(AB)(AB)(AB)(AB)AAABABBBABAB)② COABCIABCIABCIABCI(ABAB)CIAB(CICI)(AB)CIABSCIAB 我们也可以从另一个角度理解全加器:一位全加器由两个一位半加器加一个 或门构成,其联接方法如图所示。

即用半加器1将Ai、Bi两个数相加,其和再与CIi1用半加器2相加所得的和 为最终的和S;半加器1的进位与半加器2的进位之和构成向高一位的进位CO。(2)集成加法器

一位全加器的加法过程为(忽略或门运算时间): 半加器1相加完成后再由半加器1的结果及低位进位由半加器2相加并最终完成全加。

可按照这种方法用全加器构成多位加法器。这种加法器高位的运算需要等待低位运算所产生的进位才可求得,我们称它为串行进位加法器

串行进位加法器电路结构比较简单。但这种电路的最大缺点是运算速度慢,仅在对运算速度要求不高的设备中采用。为提高运算速度,人们又设计了超前进位的加法器。

超前进位加法器:在做加法运算时,各位数的进位信号由输入的二进制数直接产生的加法器 10.2.4 数据选择器

(1)数据选择器:在多路数据传送过程中,往往需要将多路数据中任意一路信号挑选出来,能实现这种逻辑功能的电路称为数据选择器(或者称为多路选择器、多路开关)。(2)四选一数据选择器

数据选择器可实现将数据源传来的数据分配到不同通道上,因此它类似于一个单刀多掷开关,如图所示。图中,D0、D1、D2、D3为4路输入信号,A1、A0为选择 控制信号,Y为输出信号,可以是4路输入数据中的任意一路,究竟是哪一路完全由地址选择控制信号A1、A0决定。① 逻辑功能:四选一

1)A1A000时,D0被选通,YD0 ;2)A1A001时,D1被选通,YD1; 3)A1A010时,D2被选通,YD2 ;4)A1A011时,D3被选通,YD3。按照上述功能设计的逻辑电路可完成四选一的逻辑功能。② 输出表达式:YD0A1A0D1A1A0D2A1A0D3A1A0Dm

(需要记住)

iii03(3)74LS151八选一数据选择器

(图给出了74LS151的引脚图)① 输入和输出 输入:八个数据输入端:D0D7;三个地址输入端:A0A2 输出:两个互补的输出端Y和Y ② 选通控制信端S:

1)S1时,选择器被禁止,即不工作(Y0);(此时,输入的数据和地址信号军不起作用)2)S0时,选择器工作,输出表达式为:YD0A2A1A0D1A2A1A0D2A2A1A0D7A2A1A0③ 74LS151的真值表

(如表所示)

(4)用具有n个地址端的数据选择器实现m(mn)变量的逻辑函数 当S0时,8选1数据选择器的输出表达式:

Dm

iii07YD0A2A1A0D1A2A1A0D2A2A1A0D7A2A1A0Dimi

i07A、B、C,输出表达 如果我们用地址端A2、A1、A0分别代表3个变量式用卡诺图的形式表示如图所示,适当地选择D0D7,就可以用8选1数据选择器设计任意的3变量组合电路。小结:

(1)组合逻辑电路的特点是,任意时刻电路的输出状态只取决于该时刻的输入状态,而与该时刻前的电路状态无关。

(2)对组合逻辑电路进行分析时,可以逐级地写出逻辑表达式,然后进行化简,力求得到一个最简的逻辑表达式。组合逻辑电路的设计过程与分析过程相反。在设计一些简单的组合逻辑电路时,关键是根据设计要求列出真值表。(3)组合逻辑电路形式多样,包括编码器、译码器、数据选择器、数值比较器、加法器等。

2.组合逻辑电路实验设计 篇二

1 小规模组合电路的设计方法

对于小规模的组合电路的设计方法主要包括4个步骤, 实际问题向逻辑真值表转化, 然后再向最简函数式转化, 通过函数式来画出逻辑电路图。该种设计思路非常的清晰, 但是如果逻辑变量增加, 那么在列真值表时也会非常的麻烦, 如果逻辑变量函数值超过5个, 那么真值表的取值组合将会超过32项, 因此最终如果想要获得函数式将会非常困难, 面对这种情况我们只需少输入几个逻辑变量进行简化设计。

问题:设计一个8位二进制代码奇偶校验电路, 如果8个代码包含有偶数个1时, 则输出即为1, 如果代码中包含有奇数个1时, 其输出为0。

我们将8个输入变量的8位二进制代码a0、a1、a2、a3;b0、b 1、b 2、b 3分成两组, 这样便可以得到两个4位的二进制代码, 同样符合问题中的条件。分别使用G1和G2分别代表两组代码的输出情况。若G1G2为0011两种组合时, (00表示代码中包含有奇数个1, 11表示代码中包含偶数个1) G输出为1且G1G2为01和10两种组合时, 则G的输出为0, 因此可以得到公式为:

同理如果将8位二进制代码分别分成两组, 使用以上的结论便可以得出公式 (2)

G=g2eg1, G=g4eg3, g2=a3ea2, g1=a1ea0, g4=b3ea2, g3=b1eb0, g3=b1eb0, 最后将其进行综合从而得出公式 (2)

通过该种设计思路省略了列出数百种取值组合的繁杂过程, 这样也有效的避免了在书写函数表达式时所犯的低级错误;从问题中得到可以从其奇数和偶数的性质作为出发点, 将一个庞大的输入洛基变量变成几个较少的逻辑变量进行分析研究, 其设计思路更加的清晰, 步骤也相对比较简单, 无形中化简了整个设计操作过程。

问题:加法器的设计, 要求是输入是两个四位二进制数, 但是输出是两者之和

该加法器的设计时主要利用加法的特性, 即要对位进行相加, 另外在相加的过程中十位数要接纳来自个位数的高进位, 百位数要吸收来自十位数的高进位, 因此可以先设计两个二进制数, 即aibi, 该数字和来自低进位的数字ci-1进行相加, 这样就可以通过逐位相加的范式来设计出4位的二进制加法器。

奇偶极校验器和加法器的设计都是采用如果输入的信号数量较多, 那么可以不用先列出真值表, 将设计分析分角度进行转换, 转换成具体问题的逻辑关系, 将内部之间的联系进行考察, 或者通过分组或者通过分位的方式来进行巧妙的设计, 最终完成组合逻辑电路的设计。

2“三开一灯”逻辑设计

设计一个能够使用三只开关对一个灯进行控制的逻辑电路, 其设计要求是必须让每一个开关均能够实现对该灯的开关操作。

在设计的时可以假设三个开关的代号分别是a、b、c, 如果开关处于闭合状态那么为1;如果开关处于断开的状态, 那么即为0;灯的代号为d, 在高电平点亮的情况下然后列出真值表, 详见表1所示。

设计方案1:使用与非门来设计“三开一灯”的逻辑电路

通过对表1中的真值进行分析, 能够书写出一个逻辑表达式, 并将该表达式转换成“与非-与非”的表达式, 详见 (3) 。

根据公式 (3) 画出与之对应的逻辑表达式画出相应的电路图, 通过对电路图的分析该电路所需要的型号为74LS00的引脚图一片, 型号为74LS20的引脚图三片。

设计方案2:使用3-8译码器实现“三开一灯”的逻辑电路

3-8译码器是最小项译码器, 该译码器的输出端和输入端之间存在一定的逻辑关系, 所以在使用该类型的译码器时, 主要将其输出端的进行与非运算, 那么就能够实现逻辑功能转化成公式即:

通过公式 (4) 中的表达式便能够画出与之相应的仿真实验图, 其中输入端的数据都是按照真值表中灯的状态进行提前设置好。

方案设计3:使用8路数据选择器实现“三开一灯”逻辑电路

所谓的8路数据选择器即多路开关, 我们将多路开关其输入端按照其具体的功能需求进行设置, 那么分别设置成1/0, 那么开关中输入的灯的状态也就是其所规定的相应的状态。分别将数据选择器中的数据输入均按照真值表中的灯的状态情况提前设置完毕, 继而画出与之对应的电路图。

3 结束语

在组合逻辑电路设计过程中, 方法的选择非常的重要, 每一个电路均会有一个最为合理的设计方案, 所以需要进行多家揣摩, 对于输入的变量较小的组合逻辑电路可以先不用列出真值表, 而是要对内在的联系进行全面的分析, 从而找出设计的突破口;对于输入变量较少的电路, 则可以通过列真值表, 快速的推导出相应的函数式, 然后通过函数式来画出电路图, 完成设计。

参考文献

[1]刘秀珍.“组合逻辑电路的设计方法”教学说课设计[J].卫生职业教育, 2005 (12) .

[2]吴建生.“三开一灯”组合逻辑电路的多种设计方法[J].新课程学习·中旬, 2014 (01) .

[3]张凤霞.拓展组合逻辑电路的设计方法[J].天中学刊, 2001 (02) .

3.智力竞赛抢答器逻辑电路设计 篇三

一、简述

智力竞赛是一种生动活泼的教育方式,通过抢答和必答两种答题方式能引起参赛者和观众的极大兴趣,并且能在极短的时间内,使人们迅速增加一些科学知识和生活常识。

进行智力竞赛活动时,一般将参赛队员分为几组;答题方式为必答和抢答两种;答题有时间限制;当时间到时有警告;答题之后有主持人判断是否正确;显示成绩评定结果。抢答时,要判定哪组优先,并通过显示和鸣叫电路予以指示。因此,要完成以上智力竞赛抢答器逻辑功能的数字逻辑控制系统,至少应包括以下几个部分: 记分显示部分; 判别、控制部分; 计时电路和音响部分。

二、设计任务和思路

1.抢答器同时供6名选手或6个代表队比赛,分别用6个按钮S1 ~ S6表示。

2.设置一个系统清除和抢答控制开关S,该开关由主持人控制。

3.抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在LED数码管上显示同时扬声器发出报警声响提示。选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。

4.抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(如30秒)。当主持人启动“开始”键后,定时器进行减计时,同时扬声器发出短暂的声响,声响持续的时间0.5秒左右。

5.参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。

6.如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器上显示0。

三、方案论证与比较:

与普通抢答器相比,本作品有以下几方面优势:

1、具有清零装置和抢答控制,可由主持人操纵,避免有人在主持人说“开始”前提前抢答违反规则。

2、具有定时功能,在10秒内无人抢答表示所有参赛选手获参赛队对本题弃权。3、10秒时仍无人抢答其报警电路工作表示抢答时间耗尽并禁止抢答。

四、电路图

1.数字抢答器总体方框图

2.参考电路

五:各单元部分电路设计如下:

(1)抢答器电路

该电路完成两个功能:一是分辨出选手按键的先后,并锁存优先抢答者的编号,同时译码显示电路显示编号;二是禁止其他选手按键操作无效。工作过程:开关S置于“清除”端时,RS触发器的 端均为0,4个触发器输出置0,使74LS148的 =0,使之处于工作状态。当开关S置于“开始”时,抢答器处于等待工作状态,当有选手将键按下时(如按下S5),74LS148的输出 经RS锁存后1Q=1,=1,74LS48处于工作状态,4Q3Q2Q=101,经译码显示为“5”。此外,1Q=1,使74LS148 =1,处于禁止状态,封锁其他按键的输入。当按键松开即按下时,74LS148的 此时由于仍为1Q=1,使 =1,所以74LS148仍处于禁止状态,确保不会出二次按键时输入信号,保证了抢答者的优先性。如有再次抢答需由主持人将S开关重新置“清除”然后再进行下一轮抢答。

(2)报警电路

由555定时器和三极管构成的报警电路如图4所示。其中555构成多谐振荡器,振荡频率fo=1.43/[(RI+2R2)C],其输出信号经三极管推动扬声器。PR为控制信号,当PR为高电平时,多谐振荡器工作,反之,电路停振。

(3)时序控制电路

时序控制电路是抢答器设计的关键,它要完成以下三项功能:

①主持人将控制开关拨到“开始”位置时,扬声器发声,抢答电路和定时电路进入正常抢答工作状态。

②当参赛选手按动抢答键时,扬声器发声,抢答电路和定时电路停止工作。

③当设定的抢答时间到,无人抢答时,扬声器发声,同时抢答电路和定时电路停止工作。

六、仪器:

1.集成电路74LS148 1片,74LS279 1片,74LS48

3片,74LS192 2片,NE555 2片,74LS00 1片,74LS121 1片。

2.电阻

510Ω 2只,1KΩ 9只,4.7kΩ l只,5.1kΩ l只,100kΩ l只,10kΩ 1只,15k Ω 1只,68kΩ l只。

3.电容 0.1uF 1只,10uf 2只,100uf 1只。

4.三极管 3DG12 1只。

5.其它:发光二极管22只,共阳极显示器1块。

七、扩展功能:

1、可以设计声控装置,在主持人说开始时,系统自动完成清零并开始计时的功能。

2、在主持人读题的过程中,禁止抢答,可以在主持人控制的开关上另接一个

选择开关电路,即可实现“违规者可见”的功能,即在主持人读题时如果有人违反比赛规定抢先按动按钮,显示器可以显示是哪个参赛队抢先,便于作出相应的处理。

八、心得体会:

经历数星期的电子竞赛眼看尘埃落定,感觉忍不住要长出一口气。我们组的3位成员除学习外均有一定的日常工作,数日来,为了这个竞赛可谓废寝忘食,在实验室里日出而作,日落不息。将所有的课余时间均奉献给了这个比赛。

结果怎样已然不再重要,在这几日里,我们经历了阶段性成功的狂喜、测试失败后的绝望、陷入困境时的不知所措,重新投入的振作。这样的比赛是无法孤军作战的,只有通力合作才有可能成功。3位成员在数日里的朝夕相伴中培养出了无与伦比的默契和深厚的友谊。

除此之外,我们还掌握了书本以外的电子技术知识,培养了专心致志的工作学习习惯,懂得了相互之间的理解与体谅,可谓获益匪浅。

4.实验4 逻辑结构设计 篇四

实验目的1.将概念模型转换为关系模型。

2.运用关系数据理论对关系模型进行优化。

实验内容与步骤

逻辑结构设计主要实现由概念模型到数据模型的转换,简单地说,就是将E-R图转换为某一种DBMS支持的数据模型,当前主要是关系模型。转换后,还应运用关系规范化理论,对数据模型进行优化。

实验操作:将你所做的概念模型转换为关系模型,并进行优化。

5.逻辑电路教案 篇五

一、教学目标

1.知识与技能

(1)知道三种门电路的逻辑关系、符号及真值表;

(2)会用真值表表示一些简单的逻辑关系;

(3)会分析、设计一些简单的逻辑电路。

2.过程与方法

(1)通过实例与实验,理解“与”、“或”、“非”逻辑电路中结果与条件的逻辑关系;

(2)通过简单的逻辑电路设计,体会逻辑电路在生活中的意义。

3.情感态度与价值观

(1)感受数字技术对现代生活的巨大改变,关注我国集成电路以及元器件研究的发展情况;

(2)体验物理知识与实践的紧密联系;

(3)学生在自主探究、交流合作中获得知识,体会学习的快乐。

二、教学重、难点

重点:三种门电路的真值表及符号。

难点:数字电路的意义。

三、教学工具:声控灯、三种门电路演示板

四、教学过程

(一)导入新课

演示:声控灯。

①接通电源,灯不亮。

②接通电源,拍手,灯不亮。

③接通电源,遮光,灯不亮。

④接通电源,遮光,拍手,灯亮。

师:像这样,现在很多电器中都包含了“智能”化逻辑关系,请同学们举例。

生:自动擦鞋机、干手机。

实现这些逻辑功能离不开?数字信号。

师介绍:

①数字信号:只有两个对立的状态,高电平“1”,低电平“0”。

②模拟信号:连续变化的电压信号。

高二物理组 施磊

教学札记

高中物理选修3-1

数字信号的“0”和“1”好比事件的“是”与“非”,而处理数字信号的电路——数字电路,就有了辨别“是”、“非”的逻辑功能。

这节课我们学习数字电路中最基本的逻辑电路——??门电路。

(二)进行新课

1.“与”门

师:门是一种条件开关,只有当输入信号满足一定条件时,门才能被打开,才有输出信号。

(1)投影:

引导学生分析开关A、B对电路的控制作用,体会“与”逻辑关系。

(当两个条件都满足时,结果才会成立)

(2)思考与讨论,让学生体会生活中的“与”逻辑关系。师:具有“与”逻辑关系的电路称为“与”门电路,简称“与”门。

(3)引导学生把图2.10-2结果与条件的关系用表格表示。

引导学生:把开关接通定义为“1”,断开定义为“0”;灯亮定义为“1”,灯熄定义为“0”,用数字语言描述上表:

高二物理组 施磊

高中物理选修3-1

师:这是“与”门的真值表,图2.10-2中A、B是有逻辑关系的机械开关,实用的门电路则是半导体材料制成的。

(4)“与”门的符号

(5)演示“与”门电路。

(6)声控灯的再讨论。

2.“或”门

(1)投影:

引导学生分析开关A、B对电路的控制作用,体会“或”逻辑关系(在几个控制条件中,只要有一个条件得到满足,结果就会发生)

(2)思考与讨论,让学生体会生活中的“或”逻辑关系。

师:具有“或”逻辑关系的电路称为“或”门电路,简称“或”门。

(3)引导学生把图2.10-6结果与条件的关系用表格表示。

高二物理组 施磊

高中物理选修3-1

引导学生:把开关接通定义为“1”,断开定义为“0”;灯亮定义为“1”,灯熄定义为“0”,用数字语言描述上表:

师:这是“或”门的真值表。

(4)“或”门的符号。

(5)演示“或”门电路。

3.“非”门

(1)学生沿用“与”门、“或”门的研究方法,理解“非”逻辑关系,写出“非”门的真值表,记住“非”门符号。

(2)师演示“非”门电路。

(3)自动擦鞋机的再讨论。

2.师:介绍集成电路的优点。让学生了解几个“或”门的集成电路和几个“非”门的集成电路的外引线图。

高二物理组 施磊

高中物理选修3-1

3.实例探究

教师引导学生完成对例题的分析和求解,通过实例分析加深对所学知识的理解。

4.作业:阅读科学漫步──集成电路。教学反思

6.组合逻辑电路实验设计 篇六

“知之者不如好之者,好之者不如乐之者。”是我国古代教育思想家孔子说的一句话,这充分体现了学生是学习的主体,兴趣是最好的教育理念。

1 教材分析

1.1《数字电子技术》是一门理论性和实践性都很强的专业核心课程,而“组合逻辑电路”在教材的知识体系中处于中间地位,起着“承前启后”的作用。

1.2《组合逻辑电路的设计》应该在学生学习了“数字电路基础”、“逻辑门电路”、“组合逻辑电路分析”的基础上进行,既可以检验前面所学知识又可以延伸后续知识。教学重点:介绍组合逻辑电路的设计方法和步骤,使同学们能正确地设计出组合逻辑电路。教学难点:引导学生设计出经济又实用的组合逻辑电路。

2 教学目标

知识能力目标:使学生熟练掌握组合逻辑电路的设计方法及步骤,提高学生学以致用的能力。方法能力目标:培养学生认真学习、勇于探索的精神;启发学生举一反三、触类旁通的创新思维能力。社会能力目标:通过课堂的师生交流,生生交流,培养学生与人交流团结协作的能力。

3 教学方法

3.1 教师的准备工作:熟悉教学大纲和课程标准;钻研教材写好教案;设计好教学过程,准备好课件、教学工具和实验器材等。

3.2 为了激发学生的兴趣,调动学生的积极性,教学过程中我主要采用“任务驱动法”来进行教学,结合学生特点,精心设计任务,引导学生分析任务探究新知,然后启发学生运用所学知识解决实际任务。中间配合使用“触类旁通的类比法”“生动活泼的讨论法”“科学直观的仿真法”“形象立体的动画演示法”来达到我们的教学目标。

4 教学过程

教学过程共设计了:“温故知新”、“任务驱动”、探究新知、“巩固提高”、“学以致用”等教学环节,用时90—100分钟(两个课时)。

4.1“温故知新”环节——采用教师提问、学生回答的互动方式来进行,共复习了三个知识点:(1)基本常用门的符号、表达式、真值表及功能;(2)逻辑代数运算的基本定律及化简办法。这两个知识点是基础工具,学习了之后学生才可以用门电路来搭建一个个具有某种功能的数字电路。(3)接着提问2个问题:(1)何为组合逻辑电路的分析?(2)组合逻辑电路分析的一般步骤有哪几步?(要求学生用方框图板演示)。然后用一道题来演练分析步骤,加深学生印象,并为新知识的教授做好铺垫。

4.2“任务驱动”环节——引领学生进行思考:给出一个组合逻辑电路图,我们能分析出它的逻辑功能,那么给出一个逻辑命题,我们能否根据要求的逻辑功能设计出逻辑电路呢?如何设计一个三人表决器呢?学生根据课前预习情况会做出相应回答,即跟组合逻辑电路的分析过程相反,需要五步———相反顺序的五步。其实本次课的“设计”过程是上次课“分析”过程的逆过程,也是本次课的主题。接下来教师用板书或者幻灯片导出教学重点。任务启动好之后,学生们根据提示的方法步骤,分析思考,分组交流,教师则巡回指导,一段时间后各小组就开始展示成果了。通过这个“生生交流”“师生交流”的过程,引导学生进行自主探究、合作学习,同时也巩固加深了教学重点内容的理解和运用。

4.3“探究新知”环节———引导学生思考:如何设计出经济又实用的组合逻辑电路?第一次的设计是不是最完美的?如果用“与非门”来设计会有什么不同?两种方案设计的“三人表决器”逻辑电路哪种更好?为什么?学生们在经过逻辑代数的变换、运算、化简后很快又展示了第二种设计方案。

教师引领学生对比分析这两种设计方案,发现两种设计方案都只用了4个门电路,不同的是:方案1中的四个门,有3个是“与门”1个是“或门”,而方案2中的4个门都是“与非门”,对比之下门电路种类单一,相对好些。通过层层设疑,再次激发了学生的学习热情,突出了本节课的教学难点:在实际应用中,组合逻辑电路的设计多用“与非门”来实现,可以降低成本,避免不必要的人力、物力浪费。

任务1结束后,继续布置第2个任务,将任务1的只有1个输出量的设计任务,提升到3个,加大了工作量,提高了难度,目的是鼓励学生,开阔思路,创新思维,突破重点难点,也使枯燥、乏味的新课内容很流畅的就被“由浅入深”、“化难为易”了。最后教师用板书或幻灯片将重点、难点提炼出来,巩固所学,加深印象。

4.4“巩固提高”环节———在同学们共同完成任务1,2之后,教师又给学生们设置了两个加强任务,要求学生独立完成,以此自行消化、吸收、巩固掌握本次课的知识点的目的。

4.5“学以致用”环节———为了更好地让同学们理论联系实践设置实验任务,要求同学们画出任务1中用“与非”门设计的3人表决器的逻辑电路图并安装、测试电路的逻辑功能。为帮助学生完成实验任务,教师要准备相关的实验器材给同学们认识,在讲解了实验器材的原理、用途及安全、节能、环保等注意事项之后,让学生们自行制作电路并测试功能,完成实验任务。这一环节是结合了同学们将来的实际工作,让学生们学以致用体验成功、增强自信。

5 结束语

教学过程中一定要以学生为主体,教师为主导进行“教学互动”;不断激发学生的求知欲和学习热情,让学生们在教学过程中体验成功、自我肯定、提升能力。

参考文献

[1]张伟林.数字电子技术.

7.《数字逻辑电路》课程教学大纲 篇七

第一章 数制与编码

在数字电路和计算机中,只用0和1两种符号来表示欣喜,参与运算的数也是由0和1构成的,即二进制数。考虑到人类计数习惯,在计算机操作时,一般都要把输入的十进制数转换为二进制数后再由计算机处理;而计算机处理的二进制结构也需要转换为便于人类识别的十进制数然后显示出来,因此,需要学习不同的数值及转换方法。

通过这一章的学习,学习者要理解数字电路的特点以及几种数制之间的转换方法 进一步学习后续内容打好基础;

本章的主要教学内容(教学时数安排:8学时): §1.1 概述

§1.2 数制与编码 §1.3 编码

第二章 逻辑代数

本章主要介绍逻辑代数的基本定理和定律,常用公式及三大规则(代入、反演、对偶)。

通过本章的学习熟悉逻辑代数的各种表示方法(真值表、表达式及逻辑图等),理解各种逻辑门的图形符号,理解最小项的基本概念及标准与或式的表示方法。掌握逻辑代数变换技巧及逻辑代数化简方法。

本章的主要教学内容(教学时数安排:8学时): §2.1 逻辑代数的基本概念 §2.2 逻辑代数的运算法则 §2.3 逻辑代数的表达式 §2.4 逻辑代数的公式简化法

第三章 门电路

本章介绍典型TTL集成电路的基本工作原理,典型TTL与非门主要外部特性(电压传输特性、输入特性、输出特性),OC门和TS门的图形符号及逻辑功能,及其正确应用的注意事项。

要了解典型TTL集成电路的基本工作原理,要求掌握典型TTL与非门主要外部特性(电压传输特性、输入特性、输出特性),熟悉一些主要参数,理解OC门和TS门的图形符号及逻辑功能,了解其正确应用及注意事项。了解MOS门电路(特别是CMOS门电路)的构成,熟悉逻辑特性。

本章的主要教学内容(教学时数安排:8学时): §3.1 概述

§3.2 体二极管和三极管的开关特性 §3.3 分立元件门 §3.4 TTL集成门

§3.5 其他类型的双极型集成电路 §3.6 MOS集成们

第四章 组合逻辑电路

本章主要介绍了掌握组合逻辑电路的分析方法,一些常用的组合逻辑电路,如加法器、数据选择器、数据分配器等,以及半导体数码管的基本结构和引脚符号的含义,组合逻辑电路的竞争冒险现象。

通过本章的学习,要掌握组合逻辑电路的分析方法,以识别给定电路的逻辑功能,能设计一些简单的,常用的组合逻辑电路,掌握编码器、译码器的基本概念及应用方法,了解半导体数码管的基本结构和引脚符号的含义,了解加法器、数据选择器、数据分配器的基本原理和应用,了解组合逻辑电路的竞争冒险现象。

本章的主要教学内容(教学时数安排:8学时): §4.1 概述

§4.2 若干常用的组合逻辑电路

§4.3 基于Verilog HDL的组合逻辑电路设计 §4.4 组合逻辑电路的竞争——冒险现象

第五章 触发器

本章主要介绍了基本RS触发器的组成、工作原理、逻辑功能及逻辑功能的描述方法,还有同步触发器的电路结构,逻辑功能,主要介绍了边沿JK触发器、T触发器、维持阻塞D触发器集成JK、D触发器。

通过本章的学习,要理解掌握基本RS触发器的组成、工作原理、逻辑功能及逻辑功能的描述方法,了解同步触发器的电路结构,熟记其逻辑符号、逻辑功能,并会熟练运用,掌握主从JK触发器、T触发器、维持阻塞D触发器的逻辑符号,逻辑功能;掌握集成JK、D触发器的使用常识。

本章的主要教学内容(教学时数安排:8学时): §5.1概述

§5.2 基本RS触发器 §5.3 钟控触发器 §5.4 集成触发器

§5.6 触发器之间的转换

§5.7 基于Verilog HDL的触发器设计

第六章 时序逻辑电路

本章主要介绍了时序逻辑电路的概念及与组合逻辑电路的区别,寄存器的电路组成、常见类型及逻辑功能,以及时序逻辑电路的分析方法和设计方法,重点介绍了常见的二进制、十进制计数器工作原理及功能,集成寄存器、计数器的工作原理与设计方法。本章是本课程的重要部分。

通过本章的学习,掌握时序逻辑电路的概念及与组合逻辑电路的区别,掌握寄存器的电路组成、常见类型及逻辑功能,熟练掌握时序逻辑电路的分析方法和设计方法,掌握常见的二进制、十进制计数器工作原理及功能,了解集成寄存器、计数器的使用常识。

本章的主要教学内容(教学时数安排:8学时): §6.1 概述

§6.2 数码寄存器和移位寄存器 §6.3 计数器 §6.4 基于Verilog HDL的时序逻辑电路的设计

第七章 脉冲单元电路

本章主要介绍脉冲波形的主要参数,555定时器、单稳态触发器、施密特触发器、多谐振荡器的电路组成、工作原理以及各种触发器的应用。

通过本章的学习后,要掌握脉冲产生和变换电路的调试方法熟悉脉冲波形的主要参数,掌握单稳态触发器、施密特触发器、多谐振荡器的电路组成和工作特点,掌握555定时器的功能。

本章的主要教学内容(教学时数安排:6学时): §7.1 概述

§7.2 施密特触发器 §7.3 单稳态触发器 §7.4 多谐振荡器

第八章 数模和模数转换

本章主要介绍了 A/D与D/A转换电路的概念及A/D与D/A转换的区别,A/D与D/A转换电路组成、常用参数、分辨率和误差。

通过本章的学习后,要掌握A/D与D/A转换电路的概念及A/D与D/A转换的区别,掌握A/D与D/A转换电路组成、常用参数、分辨率和误差,熟练掌握转换的使用环境和特定型号。

本章的主要教学内容(教学时数安排:6学时): §8.1 概述 §8.2 数模转换 §8.3 模数转换

第九章 程序逻辑电路

半导体存储器是程序逻辑电路中的主要组成部分。本章主要介绍了程序逻辑电路的结构和特点,然后系统的介绍了半导体存储器的工作原理和使用方法。

通过本章的学习后,要了解程序逻辑电路的结构和特点,并掌握半导体存储器的工作原理和使用方法

本章的主要教学内容(教学时数安排:4学时): §9.1 概述

§9.2 随机存储器 §9.3 只读存储器

§9.4 程序逻辑电路的应用

制定者:

执笔 校对者: 审定者:

8.组合逻辑电路实验设计 篇八

数字电路分为组合逻辑电路和时序逻辑电路两大类[1], 是电子技术的重要组成部分, 掌握数字电路的基本知识是设计计算机控制系统的基础。计算机控制系统性能优劣的重要指标是其稳定性、可靠性和抗干扰性, 这在很大程度上取决于构成其系统的基本部件的性能。组合逻辑电路中的门电路由于其本身的结构和工作情况, 常常会发生竞争-冒险现象, 这是电路本身内部产生的一种干扰, 如果电路对这些干扰脉冲比较敏感, 就可能使电路发生误动作而影响电路正常工作, 因此应当设法消除竞争-冒险。

Protel 99 SE 是Protel公司推出的基于Windows平台的电路板设计软件, 是目前国内最流行、应用最广的电路设计EDA软件之一。 可以完成原理图绘制、PCB图绘制以及电路仿真等。Protel 99 SE提供了多种分析工具, 利用这些工具可以进行直流工作点分析、交流小信号分析、瞬态分析/傅里叶分析、噪声分析、直流传输函数分析、参数扫描分析、传输功能分析、温度扫描分析及蒙特卡罗分析等。本文是利用瞬态分析对组合逻辑电路的竞争-冒险现象及其消除的模拟仿真[2]。

1组合逻辑电路的竞争-冒险

数字电路的基本门电路有与门、或门、非门, 它们是构成各种复合逻辑门, 以及组合逻辑电路、时序逻辑电路等复杂数字电路的基本逻辑单元[3]。

概括地可以将与门、或门、非门的逻辑功能总结为:与门“有0出0, 全1出1”, 或门“有1出1, 全0出0”, 非门“0非为1, 1非为0”[4]。

上述基本门电路的逻辑功能, 只是在输入和输出状态稳定情况下的逻辑功能。实际上, 由于信号在传输过程中改变状态都要经历一段极短的过渡时间, 或者信号传输路径不同而使信号到达输出端有先有后, 从而在数字电路中, 任何一个门电路只要有两个输入信号同时向相反方向变化, 其输出端就可能产生干扰脉冲[3], 这种现象称为竞争-冒险, 如图1 (a) 所示为一与门电路。

其逻辑函数式为Y=A·B, 当AB取值为01或10时, Y的值为0, 然而在AB由01变为10的过程中输出端Y却产生了干扰脉冲, 即产生了竞争-冒险, 如图1 (b) 所示。

2竞争-冒险的判断

在组合逻辑电路中, 如果竞争-冒险是由单个输入变量改变状态引起的, 可以很容易地用代数法和卡诺图法来确定。

2.1 代数法

代数法是从函数表达式的结构来判断是否具有产生竞争-冒险的条件。其具体方法是:

(1) 检查函数表达式中是否存在具备竞争条件的变量, 即是否有某个变量X同时以原变量和反变量的形式出现在函数表达式中。

(2) 若有, 则消去函数表达式中的其他变量, 即将这些变量的各种取值组合依次代入函数式中, 从而将它们从函数表达式中消去, 只留下被研究的变量X。

(3) 若表达式最终结果为undefined或undefined的形式, 则电路可能产生竞争-冒险, 否则, 不产生竞争-冒险。

例如, 逻辑函数undefined, 不难发现, 当B=C=1时, undefined, 变量A具备竞争条件, A的变化可能使电路产生竞争-冒险。

2.2 卡诺图法

卡诺图法是判断电路是否存在竞争-冒险的另一种方法, 具体方法是:

(1) 首先做出函数卡诺图, 并画出与函数表达式中各“与”项对应的卡诺图。

(2) 检查有无几何相邻的乘积项, 若没有, 则无竞争-冒险, 反之则有[3]。

仍以逻辑函数undefined为例, 其卡诺图如图2所示。由图可见, 乘积项AB和undefined是几何相邻的, 故电路存在竞争-冒险。

画出逻辑函数undefined的仿真电路图, 如图3所示。图中信号A为脉宽100 μs, 周期200 μs, 幅度为5 V的脉冲信号, B, C在初始的1.5 ms内为高电平, 根据电路逻辑功能, 输出信号Y在1.5 ms内应全为高电平, 但由图4所示的仿真波形可见, 输出信号Y在1.5 ms内并不完全为高电平, 说明存在竞争-冒险现象。

3竞争-冒险的消除

消除竞争-冒险常用方法有:增加冗余项、接入滤波电容和引入封锁脉冲或选通脉冲[1]。

3.1 增加冗余项消除竞争-冒险

增加冗余项的方法是通过在函数表达式中“加”上多余的“与”项或“乘”上多余的“或”项, 使原函数不可能在某种条件下化成undefined或undefined的形式, 从而消除可能产生的竞争-冒险, 冗余项的选择可用代数法或卡诺图法。

代数法确定冗余项是根据逻辑代数中的冗余定理undefined, 加入BC项后并不影响原函数逻辑功能, 但可以消除竞争-冒险, BC项即为一个冗余项。

例如, 逻辑函数式:undefined即为所加的冗余项。

用卡诺图法来确定冗余项时, 将几何相邻的最小项圈起来, 则它对应的“与”项就是逻辑函数的冗余项, 如图5所示, BC即为冗余项, 分析结果与代数法一样。

逻辑函数undefined添加冗余项后为undefined, 画出其仿真电路图, 如图6所示, 其仿真波形如图7所示。可见原来产生的干扰脉冲不见了。

3.2 接入滤波电容消除竞争-冒险

由于竞争-冒险产生的干扰脉冲一般很窄, 故可以在电路输出端并接一个不大的滤波电容来消除干扰脉冲。如图8所示, 在产生竞争-冒险的电路接入滤波电容后, 也可消除输出端的干扰脉冲, 仿真波形如图9所示。

3.3 引入封锁脉冲或者选通脉冲消除竞争-冒险

为了消除因竞争-冒所产生的干扰脉冲, 可以引入一个封锁脉冲或者选通脉冲, 封锁脉冲是在输入信号发生竞争的时间内把可能产生干扰脉冲的门封住, 从而消除竞争-冒险。选通脉冲是当电路输出端达到稳定状态之后起作用, 从而使输出信号是正确的逻辑信号而不包含干扰脉冲。

引入封锁脉冲/选通脉冲消除竞争-冒险的仿真电路如图10所示, 仿真波形如图11所示。由图可见, 原来由于竞争-冒险产生的干扰脉冲在引入封锁脉冲P之后消失了。

通过对比图4和图7、图9、图11可见, 原来在组合电路输出端Y出现的尖峰脉冲通过增加冗余项法、接入滤波电容法或引入封锁脉冲/选通脉冲后消失了, 说明这些方法对消除组合逻辑电路的竞争冒险现象是行之有效的。

4结语

上述三种引入封锁脉冲或者选通脉冲的方法比较简单, 而且不增加器件数目。但这种方法有一个局限性, 就是必须找到一个合适的封锁脉冲或选通脉冲。接入滤波电容的方法简单易行, 但输出电压波形随之变化, 故只适用于对输出波形前后沿无严格要求的场合。增加冗余项, 需增加额外电路, 但增加了电路可靠性, 如果运用得当, 可以收到最理想的效果[1]。

门电路是构成数字电路的基本单元, 可以组成各种复杂的数字电路和数字系统。对于数字电路的竞争-冒险现象, 有的情况可以忽略不计, 有的情况则可能影响电路正常工作, 应予以消除, 实际工作中可以根据不同情况选择合理的方法, 可以取得满意的效果。

摘要:在组合逻辑电路中, 当输入信号改变状态时, 输出端可能出现由于竞争-冒险而产生的干扰脉冲信号, 如果负载是对干扰脉冲信号十分敏感的电路, 有可能引起电路的误动作, 因此应该采取措施消除竞争-冒险。从理论上分析了组合逻辑电路竞争-冒险的产生, 及其判断和消除的方法, 同时运用EDA软件Protel 99 SE对组合逻辑电路中竞争-冒险的现象以及对提出的几种消除竞争-冒险的方法进行了仿真, 结果与理论分析是一致的, 达到了预期的效果。

关键词:组合逻辑电路,竞争-冒险,干扰消除,Protel 99 SE

参考文献

[1]阎石.数字电子技术基础[M].4版.北京:高等教育出版社, 2000.

[2]周兴华.单片机智能化产品C语言设计实例详解[M].北京:北京航空航天大学出版社, 2006.

[3]余孟尝.数字电子技术基础简明教程[M].3版.北京:高等教育出版社, 2006.

[4]徐国和.电工学与工业电子学[M].北京:高等教育出版社, 1993.

[5]毛法尧.数字逻辑[M].武汉:华中科技大学出版社, 1996.

[6]王振红, 张常年.综合电子设计与实践[M].北京:清华大学出版社, 2005.

9.组合逻辑电路实验设计 篇九

对于理想的组合逻辑电路, 有两个基本假设。第一, 电路中的连线和继承门电路都没有延迟, 即器件无延迟。第二, 电路中多个信号发生变化是完成的, 即输入瞬时变。但是, 在实际的电路中, 信号通过连线和集成门多有一定的延迟, 信号的变化需要一定的过渡时间, 且变化有先有后。由于电路本身无法达到理想。电路也就相应的无法达到理想。在输入信号变化的瞬间, 输入端有可能出现一些不正确的尖峰信号, 这些尖峰信号的出现, 称为冒险现象[1]。

如果输入信号变化前后, 稳定输出相同, 而在转换间有冒险, 称为静态冒险。如果输入信号变化前后为1, 而转换瞬间出现0的毛刺, 这种静态冒险称为0冒险。如果输入信号变化前后为0, 而转换瞬间出现1的毛刺, 这种静态冒险称为1冒险。

如果在得到最终稳定输出之前, 输出出现了多次变化, 即中间经历的瞬态0-1或1-0, 这种冒险称为动态冒险。

冒险的出现, 可能给逻辑电路带来错误的输出, 同时, 也会造成电路的不稳定[2]。因此, 判断冒险并对此加以避免就显得尤为重要。下面, 本文将介绍一下判断组合逻辑电路中冒险现象的卡诺余子图法。

2 卡诺余子图法

应该说对卡诺图并不陌生。卡诺图就是将真值表变换成方格图的形式, 按格雷码的规则来排列变量的取值组合, 从而得到的真值图。卡诺图与真值表只是形式不同, 其实质还是一样的。

言归正传, 来讲讲什么是卡诺余子图?要讲卡诺余子图, 不得不提到余子式。余子式是线性代数中的概念。就是将行列式中某行某列的元素去掉所得的新的行列式[3]。仿照该定义法, 将输入信号中没有变的元素在卡诺图中保留下来, 其余的一律去掉所得的新的图。不同的是:余子式是去掉某行某列, 而卡诺余子图是保留某行某列, 但还是可以如此定义。对图1, 如果从001001变到010100, 那么其卡诺余子图就是如图3的形式;对图2, 如果从010101变到111000, 那么其卡诺余子图就是如图4的形式。

可以看出, 卡诺余子图就是按照输入信号的变化将不变的元素在卡诺图中剔除, 所以卡诺余子图具有和卡诺图一样的性质[4,5]。

3 标准卡诺余子图

可以看到有时候, 在卡诺余子式中, 变化前后的变量并不一定位于卡诺余子图的顶, 如图3。这对使用卡诺余子图很不方便, 于是想到能不能将卡诺余子图标准化?答案是肯定的。由于卡诺余子图具有卡诺图一样的对称性, 那么卡诺余子图的前缘和后缘可以看做连在一起的。于是可以将输入变化前量移到卡诺余子图的左上角, 这样所得到的信徒就叫标准卡诺余子图。

这样, 上面的卡诺余子图就可以标准化为:

4 变化路径

上面讨论了输入信号的变化, 知道, 很难使每个变量达到同时变化。当然了, 如果可以的话, 讨论也就没有意义了。既然变量的变化有先有后, 那么就可以在卡诺余子图上描绘出它的变化路径。

但是对于变量的变化究竟哪个先哪个后, 事先是不知道的。同样, 如果可以的话, 讨论也就没有意义了。只能将它所有的变化路径描绘出来。

首先要弄清楚一点, 虽然变量的变化有先有后, 但是对于单个变量而言, 它只能变化一次。然后通过描绘变化路径, 得出一条规律:对于标准卡诺余子图而言, 变化路径只可能从左上角开始, 沿右下或左下前进。

5 和谐

下面介绍一下卡诺余子图中和谐的概念。所谓和谐, 可以分两种情况:第一, 卡诺余子图中全部都是1或者全部都是0 (严格和谐) ;第二, 卡诺余子图中, 1或者0都分布在以变化后的输入信号为一个顶点的矩形区域内 (广义和谐) 。之所以称之为和谐, 就是因为这样的卡诺余子图中不存在1与0的交叉分布, 也可以说不存在竞争。总而言之, 和谐就是1与0无交叉地分布在变化前后两输入信号之间。上面的图4和图5, 都是和谐的。

6 用卡诺余子图判断有无冒险现象的存在

有了上面的一系列介绍, 便可以开始判断冒险了。

第一步, 根据逻辑表达式, 画出卡诺图。

第二步, 将输入信号中不变的变量保留, 构成卡诺余子图。

第三步, 将卡诺余子图化为标准形式。 (这一步可有可无, 但有时候没有这步不好判断, 如图3) 。

第四步, 如果卡诺余子图不和谐, 那么这个变化的过程就存在冒险现象;如果卡诺余子图和谐, 那么这个变化的过程就不存在由输入信号变化有先有后而引起的冒险现象。

下面来简单论证一下该方法的正确性。

知道输入信号的变化是有原则的, 第一, 前后不变的变量在整个过程中也不变;第二, 前后变化的变量在变化中也只变化一次。有第一条原则, 可以将卡诺图简化为卡诺余子图。由第二条原则, 得出了关于变化路径的一条规律:对于标准卡诺余子图而言, 变化路径只可能从左上角开始, 沿右下或左下前进。这样一旦卡诺余子图不和谐, 就会在变化过程中出现1-0-1或0-1-0的变化, 就有可能带来冒险现象, 而如果卡诺余子图和谐, 就不会有1-0-1或0-1-0的变化, 就不会有由输入信号变化有先后而引起的冒险现象。

可以看出该方法可以很好地判断动态冒险和有输入信号变化不同步产生的静态冒险。而由于门的延迟而导致的冒险现象, 由于不涉及到卡诺图, 无法用这个方法判断。但是可以由逻辑表达式来判断:如果将变化前后恒定的量代入逻辑表达式中, 出现形如的式子, 就有可能出现冒险现象。图2中, 如果将b=1和e=0代入逻辑表达式中, 就成了有可能出现由于门的延迟造成的静态冒险。

7 如何避免出现冒险现象

有很多方法来避免冒险现象的产生, 如引入取样脉冲或者在输出端接滤波电容。这里只就如何在卡诺余子图上找避免冒险的方法进行论述。

这个方法就是:在原逻辑表达式后增加多余项, 该多余项就是整个卡诺余子图的表达式。对于广义和谐而言, 由于它对应的是动态冒险, 所以没有必要追加多余项, 就可以将由逻辑门的延迟造成的冒险也一并避免了。就拿图2这个例子来说, 如果加上整个卡诺余子图的表达式即修改逻辑设计为就可以很好地避免冒险的出现。

8 结语

运用卡诺余子图法, 可以很好地判断动态冒险和有输入信号变化不同步产生的冒险现象。至于由门的延迟造成份额冒险现象, 因为不涉及到变化路径等卡诺余子图的内容, 所以不能由卡诺余子图法判断。因此该方法还是具有一定的局限性。而用卡诺余子图法修改逻辑设计, 虽然理论上可行, 但若应用于大规模集成电路中, 实现的难度将会很大。

但没必要避免所有的逻辑冒险。只要针对关键电路加以改进来避免逻辑冒险即可。

摘要:在组合逻辑电路中, 不可避免地会遇到冒险现象。在卡诺图中剔除掉输入信号中不变的变量, 构成卡诺余子图。而卡诺余子图法, 就是通过观察所得的卡诺余子图是否广义和谐来判断是否存在冒险现象。在卡诺余子图的基础上, 提出了避免冒险现象的方法, 从而系统化了冒险现象的判断。

关键词:卡诺余子图,标准卡诺余子图,路径,和谐

参考文献

[1]宁帆, 张玉艳.数字电路与逻辑设计[M].北京:人民邮电出版社, 2008.

[2]王毓银.数字电路逻辑设计[M].北京:高等教育出版社, 2009.

[3]王传玉.线性代数[M].北京:北京大学出版社, 2008.

[4]王索芹.卡诺图在组合逻辑电路竞争冒险中的应用[J].才智, 2011, 18 (2) :55-56.

10.组合逻辑电路实验设计 篇十

印制电路板设计

专业:电子科学与技术

班级:

姓名:

学号 指导老师:

一、设计要求

用protel软件将老师给的原理图进行画图,并生成120mm*90mm的PCB板,并将原理图进行校验。

二、设计原理

三、设计过程及步骤

1、画出sih图。

进入protel软件,新建一个.sch文件,在系统自带的库里面找到相应的原件并进行连接,并标号网络标号。但仍由几个原件是在系统库里面没有的,故要自己画,其中就有AT89C51 U2,四位数码管U13,74LS245 U11,AT24C02 U5,93C46 U6,U4,JSP,其原件分别如下所示;

2、封装

双击进入封装的填写:单排直插sip系列,双排直插dip系列,电阻0805,普通电容也可以用0805,有极电容7243,晶振xtal1,三极管to-18,DB9封装为DB9F,电源to-220,由于系统封装里的二极管封装的管脚是1和2,而我们用的二极管的管脚是a和k,显然需要进行设计。所以在系统的封装库里没有按键,蜂鸣器,二极管,四位数码管的封装。封装一般分为贴片和直插的,可根据各器件的尺寸进行封装图的设计,封装图可根据自己测得,也可找到其相应的尺寸图进行设计。几种需自己设计的封装图如下所示:

3,、生成网络表

先简单检查所有的器件的名称和封装是否都有填写,并生成网络表。

3、生成PCB板

新建一个.pcb文件,在文件内导入前面生成的网络表。若有错误则将错误进行逐一的改正直至没有错误。无误后成成PCB。

4、将已生成的PCB进行布局并布线

在KeepOutLayer画一个120mm*90mm的矩形方框。将器件一一拖入矩形框内,做到用线最少,简洁,且不超出方框。将布局好的PCB进行布线,分为两层,水平尽量用TopLayer,竖直用BottomLayer(两层属于互相垂直时干扰最小,同层平行干扰最小)。线宽分别为信号线0.5mm,电源线0.8mm。不同层的线可以通过焊盘或过孔进行连接。布好的结果图如下所示

5、覆地

将做好的PCB板进行覆地,检查所有的线是否都已接上。如下所示

四、心得体会

对我们来说这个protel软件我们是初学者,刚开始用起来还是存在很多的问题,这次的设计也是对我们在该软件的使用方面有了个很好的提升。首先是在.sch画图的这发面,在自己设计器件的时候由于对软件的不熟悉,没有把文件都收在一个文件库里面,造成了页面较为凌乱。其次是此次的这个原理图比较复杂,网络标号较多,在放网络标号的时候应该把其放在画出来的线上星号的位置,不能放在器件引脚处或是焦点处,这样有可能是其在生成PCB的时候无法被识别而出错。再者,在画封装的时候最好将其单位改为mm的形式,mm是我们所熟识的,较方便进行画图。还有就是在生成网络表的时候先检查下所有器件的名称和封装号是否都有填写,以便在PCB中导入网络表的时候减少错误的产生。在导入网络表的时候就会发现很多先前没发现的问题,例如找不到管脚器件之类的,最重要的是在导入网络表之前要先讲画好的封装加入PCB的库文件中,不然会产生很多不必要的问题,在每次修改.sch文件后,最好将先前生成的网络表删掉,以免新生成的网络表无法覆盖先前的网络表。最后最难得无非就是布线了。布线的关键就是布局,局布的好在布线的时候就能减少很多的麻烦,如若布的不好你会防线到最后,剩下的线没有办法布下去。布局要先布大的器件,再补布的器件。在布线的时候我发现,应要有所规律,比如从又到左或是从右到左的顺序来或者是一个模块一个模块的来,最好不要跳着来,看着那个几条容易就先弄哪几条,不然就会做很多的无用功,需要移动的时候就可以一整块的移动,这样一来不合适的地方在改动的时候就会比较方便。

11.组合逻辑电路实验设计 篇十一

关键词:教学改革,实验,数字逻辑电路,计算机专业

数字逻辑电路实验课程是电气、电子信息类和部分非电类专业本科生在电子技术方面入门性质的技术课。它在电类专业中深受青睐, 但在非电类专业中的教学没引起足够的重视。长期以来, 在我校计算机专业类数字逻辑电路实验的实验教学中, 出现实验教师难教学生厌学的现象。我们从学生学习该课程的现状着手, 通过对该课程的先导课程及后续课程进行调查分析, 了解相关理论课学习的状态, 并据此提出了相应的实验教学改革措施, 分三个阶段对学生的学习能力及动手能力进行培养, 我们称之为数字逻辑电路实验课程“过三关”[1]。

1 数字逻辑电路实验的教学改革思路

数字逻辑电路实验在计算机类专业都把它作为一门主干必修课程, 但相比专业课来说, 非电类专业对该课程地位认识和重视程度是不一样的, 普遍存在的一种现象是“重软件轻硬件”[2]。我校计科专业、网工专业的“数字逻辑电路实验”课, 安排在第三学期, 并具有第二学期的“模拟电子技术”课程的基础。而软工专业的“数电”课安排在第二学期, 并没有提前开设“模电”课程, 缺乏电路知识的先导。在总课时数压缩的情况下, 由于理论课和实验课安排在同一学期, 并在第一周同时开课, 实验课严重滞后于理论课的进度, 造成学生想要学好又觉得心有余而力不足[3]。

第一关:克服对数字电路实验课的心理恐惧关

对计算机专业的学生来说, 模拟电子技术和数字逻辑电路都很难学, 更难于精。适合计算机专业的专用教材很少, 更没有比较适合的实验教材。不得已沿用电类专业的教材, 理论偏多偏深。单纯的数字逻辑分析抽象、枯燥、乏味, 遇到复杂的逻辑现象更容易让人感到无从下手, 产生畏难情绪。例如:教材[4,5]的第二章逻辑门电路, 是学生们共同认为最难于理解、头疼困难的内容。在讲解TTL (Transistor-Transistor Logic) 基本逻辑门涉及到很多的电路基础知识、基本电路元件 (电阻、二极管、三极管等元件) 、电路及结构、半导体工艺、以及它们的电流、电压、元件参数等内部电气参数的计算等。对电路原理的理解和对电子元器件认识存在困难。然而, 计算机专业学习的重点并不在这些电路的内部原理和前端设计, 实验所必需的电路基础知识在课程中的应用暂时不用十分深入, 可以不用刻意去理解逻辑器件的内部结构。重点应放在:一是掌握器件输入和输出之间的逻辑功能;二是外部的电气特性其主要参数。相应的基本门电路实验, 目的包括掌握TTL基本逻辑门的逻辑功能验证与参数测试;掌握TTL器件的使用规则;进一步熟悉数字逻辑电路实验装置的结构、基本功能和使用方法。“轻里重外”, 将集成电路视为“黑匣子”, 这样电路基础知识不再构成计算机专业的学生学习的障碍。

在实验教学中, 改善实验条件, 增强实验教学的趣味性。让生活走进实验、贴近生活。理论实验化, 实验生活化。例如:逻辑门实验是认识数字电路的基本实验, 电子门铃的原理就是利用与非门构成振荡器, 使输出端的铃声信号输出, 从而驱动喇叭发出闹铃声的。除此之外, 实验还能进行趣味游戏如乒乓球游戏机等的设计。通过增加实验内容、改变实验方法, 多做实验来改变学生怕做实验的恐惧心理。

根据现在的理论课学时、教学计划和实验设备, 改编有关内容。以“与非门”逻辑为例说明改革实验教学方法。采用先理论讲解, 以逻辑代数为基本数学工具, 从基本逻辑门电路入手。实验使用传统标准数字逻辑器件四2输入与非门74LS00, , 用它构成传统的与非门验证实验。再用硬件描述语言VHDL (Very-High-Speed Integrated Circuit Hardware Description Language) [6]和复杂可编程逻辑器件CPLD (Complex Programmable Logic Device) [7]实验实现“与非门”逻辑。这样就建立了同一实际逻辑问题用多种不同层次方法进行实验的模式:数字逻辑单元理论设计, 以门电路为基本单元电路构成各种组合逻辑电路和时序逻辑电路, 使用标准数字逻辑器件中的中 (MSI) 、小规模 (SSI) 的TTL集成电路验证;利用通用集成电路模块产品, 主要是用中 (MSI) 大规模 (LSI) 集成电路模块, 构成预定功能的逻辑电路;再用VHDL和CPLD构成复杂的电路系统, 步步推进, 穿插进行融合。

第二关:培养动手能力关

从数字逻辑电路实验课程的知识结构和特点分析, 数字逻辑电路实验主要由基本逻辑门电路, 由门电路组成的基本组合逻辑电路和时序逻辑电路及通用集成电路模块构成。

在第一阶段为数字逻辑电路基础实验 (芯片级实验) 。由“一门而入”, 选用传统典型标准数字逻辑器件与非门, 进行基本门电路逻辑功能测试与验证, 通过实验使学生熟练掌握数字电路实验箱的结构和使用方法, 使用示波器记录描述逻辑功能的波形图, 实验基本仪器测试集成电路外部电气特性参数。掌握用与非门组成其它逻辑门及逻辑门之间的互换、解决不同门电路之间相互连接匹配问题。对集成门电路外形建立感性认识, 熟悉芯片的外形封装、芯片的引脚数量和分布情况。通过基础实验, 训练了学生的数字逻辑设计的基本功, 为综合设计性实验打下良好的基础。

第二阶段为综合设计实验 (单元级实验) 。主要有基本技能测试性综合实验、组合电路设计性综合实验、时序电路设计性综合实验、存储器和D/A或A/D转换电路的综合实验。

综合设计性实验主要是小系统逻辑设计实验[8], 每一个实验系统可以由多片标准数字逻辑器件MSI、MSI的门电路组成。也可以用通用集成电路中的MSI、LSI的TTL集成电路芯片组成。实验者可根据自己的设计做出不同种类的电路, 培养对单元功能电路的理解和灵活运用能力。例在传统数字逻辑电路实验中, 最为经典的例子是“三人表决器实验电路的设计”[9]。其中SSI门电路设计最为灵活, 可以选择一种与非门构成“与非-与非式”、一种或非门构成“或非-或非式”、与非门+或非门构成“与或非式”。也可以采用通用集成电路模块译码器、数据选择器和加法器分别设计多种三人表决器实验电路。

第三关:VHDL及CPLD实验提高复杂电路设计能力关

从第一、第二阶段实验的效果来看, 这些实验是在掌握SSI、MSI电路分析和设计的基础上进行, 达到预定的逻辑功能。这种方法设计的逻辑系统规模不宜太大, 否则, 系统需要很多芯片, 连接线和接点复杂, 导致可靠性下降、功耗增加, 系统占用空间扩大。为此, 可以采用大规模集成和超大规模集成技术, 把完成复杂功能的众多芯片集成到一个芯片内。可以克服上述问题。这种能够完成特定功能的集成电路芯片称之为专用集成电路。用VHDL语言设计后, 在CPLD中实现, 这已经成为数字系统设计的主流。

将新技术和新型电路设计的方法充实到教学中去, 以体现实验与时俱进的先进性。第三阶段的可编程器件的应用与可编程电路的EDA设计实验 (系统级实验) , 要求学生用CPLD芯片重现第一阶段的基础实验和第二阶段综合设计性实验中的电路设计。训练学生通过阅读资料掌握可编程器件的功能及规范的使用方法。掌握EDA软件的使用方法和设计语言。最终达到“了解一种器件, 熟练使用一种设计工具, 掌握一门设计语言, 能够设计较复杂的数字系统”的目的。

通过三个不同阶段的实验过程, 将一种数字逻辑器件的基础理论, 用传统器件实验验证或实现, 再用VHDL及CPLD实验复现, 三者融合循环, 螺旋式上升。实现数字逻辑电路实验的教学改革, 帮助学生突破在学习道路上的三道难关。

2 结论

侯建军教授提出了“厚理博术, 知行相成”的教育理念。通过数字逻辑电路实验, 既要加强知识的学习, 又要践行所学的知识, 提高实践动手能力和创新能力。根据学生的特点确定教学目标, 组织教学内容, 制定教学方法, 以学生为主体, “教法”适应“学法”培养学生的学习兴趣。倡导以启发、探索和创新性实验为核心的研究式学习方式, 鼓励学生参与国家级和校级的大学生创新创业项目, 并参加各种国家电子技能大赛, 取得很好的效果。

参考文献

[1]刘志军“.模拟电子线路”的“过三关”——谈“模拟电子线路”教与学[J].电气电子教学学报, 2002 (11) .

[2]杨汉祥.数字电路课程交叉知识的教学研讨与实践[J].赣南师范学院学报, 2005 (6) .

[3]管冰蕾, 胡家芬.计算机专业《数字逻辑》课程教学改革的研究[J].时代教育:教育教学版, 2009 (3) .

[4]侯建军.数字电子技术基础[M].2版.北京:高等教育出版社, 2009.

[5]侯建军.电子技术基础实验综合设计实验与课程设计[M].北京:高等教育出版社, 2009.

[6]Volnei A Pedroni.VHDL数字电路设计教程[M].北京:电子工业出版社, 2013.

[7]王诚, 赵延宾, 梁成志.Lattice FPGA/CPLD设计 (基础篇) [M].北京:人民邮电出版社, 2011.

[8]刘新元, 谢柏青“.数字逻辑电路实验”课程改革[J].电气电子教学学报, 2009 (4) .

上一篇:发扬雷锋精神共建文明风尚下一篇:“团结”在我心的作文500字