eda实训报告文档

2024-09-03

eda实训报告文档(精选6篇)

1.eda实训报告文档 篇一

金 工 实 训

报 告

(2011~2012学期)

专业:电气工程及其自动化

姓名:饶必轩

班级:五班

学号:20100210470509

2011-20012学年上学期,我们在新迎校区工程实训中心进行了为期一周的金工实习。期间,我们接触了铸、锻、焊、热处理、钳、车、铣、刨、等工种的基本操作技能和安全技术教程。每一天,大家都要学习一项新的技术,并在半天的实习时间里,完成从对各项工种的一无所知到制作出一件成品的过程。在老师们耐心细致地讲授和在我们的积极的配合下,我们没有发生一例伤害事故,基本达到了预期的实习要求,圆满地完成了为期一周的实习。实习期间,通过学习车工、锻工。我们做出了自己设计的工艺品,铣工、车工、刨工的实习每人都能按照图纸要求做出一个工件;最辛苦的要数车工和钳工,车工的危险性最高,在一天中同学们先要掌握开车床的要领,然后按照图纸要求车出锤子柄。所有工种中,钳工是最费体力的,通过锉刀、钢锯等工具,手工将一个铁块磨成六角螺母,再经过打孔、攻螺纹等步骤最终做成一个精美的螺母。一个下午下来虽然很多同学的手上都磨出了水泡,浑身酸痛,但是看到自己平生第一次在工厂中做出的成品,大家都喜不自禁,感到很有成就感。这次金工实习给我的体会是:

a 通过这次实习我们了解了现代机械制造工业的生产方式和工艺过程。熟悉工程材料主要成形方法和主要机械加工方法及其所用主要设备的工作原理和典型结构、工夹量具的使用以及安全操作技术。了解机械制造工艺知识和新工艺、新技术、新设备在机械制造中的应用。b 在工程材料主要成形加工方法和主要机械加工方法上,具有初步的独立操作技能。c 在了解、熟悉和掌握一定的工程基础知识和操作技能过程中,培养、提高和加强了我们的工程实践能力、创新意识和创新能力。

d 培养和锻炼了劳动观点、质量和经济观念,强化遵守劳动纪律、遵守安全技术规则和爱护国家财产的自觉性,提高了我们的整体综合素质。

金工实习对我们工程素质和工程能力的培养起着综合训练的作用,使我们不但要掌握各工种的应知应会要求,还要建立起较完整的系统概念,既要要求我们学习各工种的基本工艺知识、了解设备原理和工作过程,又要加强实践动手能力的训练,并具有运用所学工艺知识,初步分析解决简单工艺问题的能力。

在实习中,学校将各工种的实习内容如:结合制作榔头,将下料、车工、铣工、钳工、刨工、铸造、锻压、焊接等串联起来,使我们对机械产品的各个加工环节有一个整体的认识。使我们了解了各工种的先后顺序和作用。

e 在整个实习过程中,对我们的纪律要求非常严格,制订了学生实习守则,同时加强对填写实习报告、清理机床场地、遵守各工种的安全操作规程等要求,对学生的综合工程素质培养起到了较好的促进作用。

f 实训中心教师将我们加工产品的打分标准公布给我们,使我们对自己的产品的得分有明确认识,对于提高我们的质量意识观念有一定作用。对我们的金工实习成绩,实行综合考评制度,实行平时成绩+产品质量成绩+综合考试成绩=总成绩,使我们能认真对待每个工种和每个实习环节。

在各个工种的实习中,都安排了一定灵活时间和实习内容,使得动手能力强的学生有了发挥的余地。

在实习期间我有很深的感触,很感谢学校能给我们提供这个实习的机会,让我们提前体验到学工科的不易,获得了课堂里边得不到也想不到的知识,也许将来不会走上这个岗位,但是现在所学的知识和感受却是终生难忘。虽然脏点累点,这些都无所谓,重要的是我们有了收获、也有了成果。

我认为在数铣和数线这些科技含量相当高的实习项目中,应多分配点时间,让我们能够真正体验到高科技带来的乐趣。

在焊接方面我觉得应该引进一些比较先进的技术,虽然不一定就买来这些设备,但我觉得应

该传授一些,以便让我们能知道自己与世界先进水平的差距。

另外,我觉得我校的金工实习课应该再减少一些讲解时间,增加一些动手时间。还可以将一些理论搬到学生动手操作时间时讲解,这样更有利于达到我们的目的。

实习期间,许多老师的敬业、严谨精神也让我们敬佩。老师能不耐其烦地帮我们查找程序中的错误,一遍又一遍。有的程序特别长,可老师才不计较这些,只要有一点毛病,就一定要把它揪出来,尽自己最大的努力把同学们的作品修整得更为完美一点。有的老师会一次又一次地给同学演示如何操作,直到同学真正清楚。这种精神值得我们每一个人学习。我觉得金工实习对我自己来说非常有意义,非常实在.它给我的大学生活添上了精彩的一笔.它让我更贴近技术工人的生活,让我增长了更多的专业知识,让我认识到自己的长处与不足。

两年后我们就业的时候,就业单位不会像老师一样点点滴滴细致入微的把要做的工作告诉我们,更多的是需要我们自己去观察、学习。不具备这项能力就难以胜任未来的挑战。随着科学的迅猛发展,新技术的广泛应用,会有很多领域是我们未曾接触过的,只有敢于去尝试才能有所突破,有所创新。就像我们接触到的车工,虽然它的危险性很大,但是要求每个同学都要去操作而且要做出成品,这样就锻炼了大家敢于尝试的勇气。另外像铸工和看似简单的拆装,都需要我们细心观察,反复实践,失败了就从头再来,培养了我们一种挫折感等等。一周的金工实习带给我们的,不全是我们所接触到的那些操作技能,也不仅仅是通过几项工种所要求我们锻炼的几种能力,更多的则需要我们每个人在实习结束后根据自己的情况去感悟,去反思,勤时自勉,有所收获,使这次实习达到了他的真正目的。身为大学生的我们经历了几年的理论学习,不止一次的被告知理论知识与实践是有差距的,但我们一直没有把这句话当真,也没有机会来验证这句话的实际差距到底有多少。

金工实习给了我们一次实际掌握知识的机会,离开了课堂严谨的环境,我们感受到了车间中的气氛。同学们眼中好学的目光,与指导教师认真、耐心的操作,构成了车间中常见的风景。久在课堂中的我们感受到了动手能力重要性,只凭着脑子的思考、捉摸是不能完成实际的工作的,只有在拥有科学知识体系的同时,熟练掌握实际能力,包括机械的操作和经验的不断积累,才能把知识灵活、有效的运用到实际工作中。

很多人都有这样的评价:现在的大学生好多都是高分低能,动手能力太差劲了。可是金工实习却正好给我们学工业工程的同学们补上了这一课。在金工实习中,我们不再像以前那样只是稳稳地坐在教室里面,看着老师的比划和描绘,苦苦地思索着:到底什么是车床呀!我们想呀想直至把自己想到梦里头……所以,以前总也改不掉上课睡觉的毛病。现在可就大不一样了,当那些课本上的图像和老师课上描绘的机器真正摆在我们面前的时候,我们是异常地兴奋,看到这些曾经在头脑中苦苦思索可就是看不清其真是面目的家伙,我们是万分欢喜,再想到我们不仅可以看的到它们,摸的着它们,而且我们还会学习如何去操纵它们,我们心中的喜悦更是难以言表。

了解机械设备

第二天实习老师只是让我们熟悉一下车工、锻工、磨工,铣工等机械设备的构造、工作原理、基本操作和基本功能,等以后实习的时候再让我们实际操作。通过老师的讲解,我们熟悉了普通车刀的组成、安装与刃磨,了解了车刀的主要角度及作用,刀具切削部分材料的性能和要求以及常用刀具材料,车削时常用的工件装夹方法、特点和应用,常用量具的种类和方法,了解了车外圆、车端面、车内孔、钻孔、车螺纹以及车槽、车断、车圆锥面、车成形面的车削方法和测量方法,了解了常用铣床、刨床、磨床的加工方法和测量方法。

比如在使用磨床机床工作时,头不能太靠近砂轮,以防止切屑飞入眼睛,磨铸铁时要戴上防

护眼镜,不要用手摸或测量正在切削的工件,不要用手直接清除切屑,应用刷子或专用工具清除,严禁用手去刹住转动着的砂轮及工件,开机前必须检查砂轮是否正常,有无裂痕,检查工件是否安装牢固,各手柄位置是否正确。开动铣床机床前,要检查铣床传动部件和润滑系统是否正常,各操作手柄是否正确,工件、夹具及刀具是否已夹持牢固等,检查周围有无障碍物,才可正常使用,变速、更换铣刀、装卸工件、变更进给量或测量工件时,都必须停车。更换铣刀时,要仔细检查刀具是否夹持牢固,同时注意不要被铣刀刃口割伤。铣削时,要选择合适的刀具旋转方向和工件进给方向,切削速度、切削深度、进给量选择要适当,要用铁勾或毛刷清理铁屑,不能用手拉或用嘴吹铁屑,工作加工后的毛刺应夹持在虎钳上用锉刀锉削,小心毛刺割手。铣齿轮时,必须等铣刀完全离开工件后,方可转动分度头手柄。

车工、锻工、磨工,铣工实习是切削加工技术的必要途径之一,可以培养我们的观察能力、动手能力,开拓我们的视野,使我们平时学习的理论知识和操作实践得到有效的结合。、车工实习

接下来的实习是车工实习,车工要求较高的手工操作能力。通过老师的讲解,我们了解了车刀的种类,常用的刀具材料,刀具材料的基本性能,车刀的组成和主要几何角度,车床的功能和构造,老师最后给我们示范了车床的操作方法,并示范加工了一个木模,然后就让我们开始自己独立实习,虽然操作技术不怎么熟练,经过几天的车工实习,最后还是各自独立的完成了实习。

车床运转时,不能用手去摸工件表面,严禁用棉纱擦抹转动的工件,更不能用手去刹住转动的卡盘。当用顶尖装夹工件时,顶尖与中心孔应完全一致,不能用破损或歪斜的顶尖,使用前应将顶尖和中心孔擦净,后尾座顶尖要顶牢,用砂布打磨工件表面时,应把刀具移动到安全位置,不要让衣服和手接触工件表面。加工内孔时,不可用手指支持砂布,应用木棍代替,同时速度不宜太快。禁止把工具、夹具或工件放直接在车床床身上和主轴变速箱上。工作时,必须集中精力,注意头、手、身体和衣服不能靠近正在旋转的机件,如工件、带轮、皮带、齿轮等。

通过车工实习,我们熟悉了有关车工及车工工艺方面的基本知识,掌握了一定的基本操作技能,已经会初步正确使用和操作车床,而且还增强我们的实践动手能力,以及分析问题和解决问题的能力

钳工实习

钳工是以手工操作为主,使用各种工具来完成零件的加工、装配和修理等工作。与机械加工相比,劳动强度大、生产效率低,但是可以完成机械加工不便加工或难以完成的工作,同时设备简单,故在机械制造和修配工作中,仍是不可缺少的重要工种。在钳工实习中,我们知道了钳工的主要内容为刮研、钻孔、攻丝、套丝、锯割、锉削、装配、划线,了解了锉刀的构造、分类、选用、锉削姿势、锉削方法和质量的检测,了解钳工在机器制造和设备维修中的地位和重要作用。

我们在实习中,已经熟悉并能选用划线、锯削、锉削、钻孔、扩孔、铰孔、锪孔、攻丝、套扣、刮削、装配与拆卸等加工工具夹具,掌握了钳工的主要基本操作,根据简单零件图可以进行锉削、锯削、钻孔、划线、攻丝、套扣的工件加工。首先要正确的握锉刀,锉削平面时保持锉刀的平直运动是锉削的关键,锉削力有水平推力和垂直压力两种。锉刀推进时,前手

压力逐渐减小后手压力大则后小,锉刀推到中间位置时,两手压力相同,继续推进锉刀时,前手压力逐渐减小后压力加大,锉刀返回时不施加压力,这样我们锉削也就比较简单了,接着便是刮削、研磨、钻孔、扩孔、攻螺纹等。

钳工的实习说实话是很枯燥的而且很累,可能干一个上午却都是在反反复复着一个动作,还要有力气,还要做到位,要根据图纸的尺寸不能有太大的误差。

3、在了解、熟悉和掌握一定的工程基础知识和操作技能过程中,培养、提高和加强了我们的工程实践能力、创新意识和创新能力。对我们的工程素质和工程能力的培养起着综合训练的作用,使我们不但要掌握各工种的应知应会要求,还要建立起较完整的系统概念,既要要求我们学习各工种的基本工艺知识、了解设备原理和工作过程,又要加强实践动手能力的训练,并具有运用所学工艺知识,初步分析解决简单工艺问题的能力。

4、金工实习培养和锻炼了我们,提高了我们的整体综合素质,使我们不但对金工实习的重要意义有了更深层次的认识,而且提高了我们的实践动手能力,使我们更好的理论与实际相结合,巩固了我们的所学的知识。

5、培养和锻炼了我们的劳动观点、质量和经济观念,强化遵守劳动纪律、遵守安全技术规则和爱护国家财产的自觉性,提高了我们的整体综合素质。

6、在整个实习过程中,对我们的纪律要求非常严格,制订了学生实习守则,同时加强对填写实习报告、清理机床场地、遵守各工种的安全操作规程等要求,对学生的综合工程素质培养起到了较好的促进作用。

7、实习过程中大家相互帮助相互学习,学会了如何团结合作,增强了同学间的友谊。、在实习过程中我们取得的劳动成果,让我们有种自豪感、成就感,这是难以用语言来表达的。

金工实习让久在课堂的我们切身的感受到作为一名工人的苦与乐,同时检验了自己所学的知识。金工实习更让我深深地体会到人生的意义,实践是真理的检验标准,通过金工实习,我了解到很多工作常识,也得到意志上锻炼,有辛酸也有快乐,这是我大学生活中的又一笔宝贵的财富,对我以后的学习和工作将有很大的影响。

总而言之,虽然在十天的实习中,我们所学到的只是皮毛的皮毛,但是凡事都有一个过程,我们所学到的都是基础中的基础

2.EDA实验报告 篇二

----移位相加8位硬件乘法器电路计

ou 1

移位相加硬件乘法器设计

一.实验目的

1、学习移位相加8 位硬件乘法器电路设计;

2、学习应用EDA 技术进行项目设计的能力

二.实验原理

该乘法器是由8位加法器构成的以时序方式设计的8位乘法器。其乘法原理是:乘法通过逐项移位相加原理来实现,从被乘数的最低位开始,若

为1,则乘数左移后与上一次的和相加;若为0,左移后以全零相加,直至被乘数的最高位。

实验箱内部结构图

三.实验设备

1.安装QUARTUS II 软件的PC一台;

2.实验箱一个 四.实验步骤

1.输入下列VHDL程序:

2.编译程序,并连接实验箱并下载 3.在实验箱上按下列要求进行设置:

①选择模式1 ②CLKK控制移位相加速度,接clock0=4Hz ③A[7..0]、B[7..0]输入数据 显示于此4个数码管上

④DOUT[15..0]接数码管8/7/6/5,显示16位乘积:PIO31—PIO16 ⑤接键8(PIO49):高电平清0,低电平计算允许

⑥A[7..0]接键2/1,输入8位乘数 PIO7—PIO0(模式1)⑦B[7..0]接键2/1,输入8位被乘数 PIO7—PIO0(模式1)

五.实验结果

实验程序编译运行后RTL电路图

ou 1)2

(模式

实验RTL电路

A[7..0]接键2/1,输入8位乘数:A2(十六进制)B[7..0]接键4/3,输入8位被乘数:33(十六进制)可得结果DOUT[15..0]:2046(十六进制)六:心得体会

通过电子设计的数字部分EDA设计,我们掌握了系统的数字电子设计的方法,也知道了实验调试适配的具体操作方法。

通过实验,进一步加深了对EDA的了解,让我对它有了浓厚的兴趣。但是在调试程序时,遇到了不少问题,编译下载程序时,总是有错误,在细心的检查下,终于找出了错误和警告,排除困难后,程序编译就通过了,心里终于舒了一口气。

3.eda实习报告 篇三

学院:电气信息工程学院专业:电子信息工程班级:姓名:学号:

实习目的及要求::

1、熟悉QuartusII软件的相关操作,掌握数字电路设计的基本流程。 2、介绍QuartusII的软件,掌握基本的设计思路,软件环境参数配置,仿真,管脚分配,下载等基本操作。

3、了解VHDL或原理图设计方法与定制IP模块的思想。

4、掌握并行加法器,减法器乘法器以及除法器的设计思路及工作原理。 5、设计一个能完成加减乘除功能并以十进制显示结果的简单计算器。 软硬件资源

硬件:ED2-70实验板

软件环境:QII9.0开发系统

题目设计:这个简单的计算器要求能够进行简单的四则运算,在程序设计中,通过设计、编制、调试一个模拟计算器的程序,加深对我们对编程语句及语义分析和理解,并实现对命令语句的灵活应用。这次实验目的就是实现简单计算器的功能。

二、流程图

? 当输入为00时输出加法结果 ? 当输入为01时输出减法结果 ? 当输入为10时输出乘法结果 ? 当输入为11时输出除法结果

三.顶层原理图

四个模块输出均为十六进制数,为了输出方便观察,设计了8位除法器,将输出变为十进制数显示在数码管上。 DATAIN[1..0]为输入控制端,通过试验箱上两个拨码开关控制输入。A[3..0]和B[3..0]是两个四位二进制输入数,当DATAIN为00时进行加法运算,当DATAIN为01时进行减法运算,当DATA为10时进行乘法运算,当DATA为11是进行除法运算。结果用十进制显示三个共阳静态LED数码管上,除法的余数单独显示在右下角的七段驱动共阳数码管中的一个上。

四、各个模块

(1)加法器模块 1、封装元件

当CLR为‘1’时清零,输出为零

当CLR为‘0’时,输入两个四位二进制数,输出两个数之和,S[3..0]为和,S[4]为进位。 2、加法器程序

library ieee;

use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity choose is

port(Q:in std_logic_vector(1 downto 0);

input: in std_logic_vector(31 downto 0); output:out std_logic_vector(7 downto 0); output1:out std_logic_vector(3 downto 0)); end choose;

architecture one of choose is begin

process(Q) begin

if Q=“00” then output(7 downto 0)<=input(7 downto 0); elsif Q=“01” then

if input(12)=0 then output(7 downto 0)<=input(15 downto 8); else

if input(11 downto 8)=“0000” then

output(7 downto 0)<=“0000”&input(11 downto 8)+“01100100”;

else output(7 downto 0)<=“00010001”+not(“0000”&input(11 downto 8))+“01100100”; end if;

end if;

elsif Q=“10” then output(7 downto 0)<=input(23 downto 16);

elsif Q=“11” then output(7 downto 0)<=“0000”&input(31 downto 28); output1<=input(27 downto 24); end if;

end process; end one;

3、仿真结果

当CLR为1时,输出为0;当CLR为0时,进行加法运算。S[3..0]为相加后得到的和,S[7..4]为相加后的进位。 (2)减法器模块 1、封装元件

2、减法器程序

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY ADDER4B IS PORT(

CLR:IN STD_LOGIC;

A,B:IN STD_LOGIC_VECTOR(3 DOWNTO 0); S:OUT STD_LOGIC_VECTOR(7 DOWNTO 0)); END ADDER4B;

4.商务策划实训个人总结文档 篇四

个人总结

班别 商管0931

姓名黄远超

学号G20096013106

本学期我们进行了两个月的商务策划实训,商务实务策划实训是课程设计型实训课程,是商务管理专业学生运用所学管理、营销、市场调查与预测、商务策划等相关的学科知识的综合性应用型的项目化实训课程,是针对特定的商务问题进行分析和一定深度的探索演练,以设计出一个解决特定问题的方案,从而提高学生理论联系实际解决实际问题的能力的重要的实践学习环节。

一、实训目的(一)系统掌握商务策划的基本原理、科学的思维方法及

一般程序,为全面提高就业竞争力、成为创新人才打下

基础;

(二)了解商务策划的策略与技巧,激发创新意识,使策

划思维成为思考问题、解决问题的一种习惯;

(三)提高学生在市场调研中收集、分析、整理和评价数

据的能力;

(四)了解创新人才的素养与知识结构,熟悉商务策划书的撰写;

(五)培养学生市场竞争意识和团队合作的精神。

二、实训任务

(一)制定商务策划设计项目行动计划

(二)制定商务策划项目调研计划

(三)设计切合实际的调查问卷或调查访谈提纲并进行实

(四)根据调查结果,各组撰写合乎规范的调研报告一份

(五)根据调研的情况,各组设计项目的商务策划书一份,并制作成为克演示的PPT文档

(六)撰写个人商务策划实训总结一份

三、个人承担的工作

(一)参与了初期商务策划调查主题的讨论,共同确定了

主题;

(二)参与调查问卷的发放、回收以及调查数据的统计;

(三)参与了实地调查;

(四)参与了策划方案的设计及商务策划书的编写

本人在本小组中是一名普通的组员,在实训过程中为本小组贡献了一份微薄之力,但这也是我的本职任务,实训的圆满完成离不开每位组员的共同努力,而我也起到了一定的监督作用。

四、存在的问题及改进意见

(一)存在的问题

1、小组分工合作方面

(1)任务分工不够明确,从而导致有人很忙有人很闲,不能充分利用时间和资源;

(2)小组成员间缺乏沟通,导致有些成员对策划方案还

不够了解;

(3)团队合作精神有待加强。

2、市场调查方面

(1)调查问卷设计不够严谨,安排不够合理;

(2)问卷填写过程中缺乏有效地监督,导致出现较多废

卷。

3、调查报告和策划书编写方面

(1)结构不够全面;

(2)内容不够准确细致;

(3)策划书内容不够新颖。

(二)改进意见

1、掌握商务策划的基本要求。商务策划应当具有利益性、新颖性、优势性和虚拟性的属性,运用奇正原理、系统原理、博弈原理、裂变原理、整合原理或简易原理。商务策划的方案应当从现有能力出发,并且每个方案要考虑到多方面的情况,保证方案的独立性;

2、加强社会实践。商务策划过程中涉及策划技巧、问题

解决方式等,这些能力不是通过仅仅的书本知识获得的,必须经过一定的社会实践经验的积累。通过社会实践才能将所学知识与实践结合起来,经过一定的经验积累才能判别出那些方案可行,哪些不可行。

3、加强创新能力的培养。创新是一个民族的灵魂,事实

也确是如此,这次商务策划要求我们针对问题提出方案,并且方案要有一定的新颖性、严密性、可操作性不能仅仅局限于传统的解决方式,应当整合各方面的资料

提出建议。

五、心得体会

(一)管理沟通与团队精神在工作中有着非常重要的作用这次的商务策划实训是以小组的形式来完成的,这次真正的感受到团队合作精神在工作中的重要性。团队精神强调团队内部各个成员为了团队的共同利益紧密协作,从而形成强大的凝聚力和战斗力,最终实现团队目标。注意利用团队的合力,不能偏重于个人。团队的作用在于提高小组的效率,使团队的工作效率超过成员个体效率的简单之和,因为团队中得每个人可能在某一方面试天才,而不是全才,所以只有发挥团队精神,才能取得更大的成功,才能保证我们的工作按时按量的完成。

(二)加强沟通交流能力的重要性

沟通是维持团队良好的状态,保证团队正常运行的关键过程。当团队的运行或管理出现了新问题,部门之间,领导之间,员工之间必须通过良好有效的沟通,才能找准症结让每个团队成员了解团队的现存问题、工作业务的进展情况等,通过分析、讨论、拿出方案,及时将问题解决。沟通交流能力的培养过程中还应当注意培养语言表达能力,在调查过程中,我有访谈一些商务人员,发现自己的表达能力很差,特别是聊到有关商务方面的,自己就支支吾吾的问了一些很不官方的问题。所以,加强沟通交流的能力是很重要的,这将

有利于以后的学习和工作。

六、结语

5.eda实训报告文档 篇五

(附教材编书目录)

课名: “电工技术实训” 教材名:《电工技术实训教程》

一、编写说明

本教材编写是在教育部立项课题〈高职高专电工课程教学内容改革、建设的研究与探讨〉的基础上,为适应高等职业教育迅猛发展的需要,培养面向生产、管理第一线的高级应用型技术人才,并结合多年积累的办学经验编写。教材本着在学生掌握基本理论知识的基础上,强化实际技能和综合能力的培养。使学生既有电工技术的应用基础,又有一定设计、调试能力,并取得相应的技能等级证书。

实训各章以每章培养一种能力编写,实验各章是以维修电工等级要求和实际应用中所需要掌握的实验为主,在掌握基本实验技能基础上,学习技能操作,并取得相应的维修电工等级证书。

本课程是高等职业院校机电类专业学生或非电类学生必修的一门技能训练课。通过对本课程的学习,掌握必备的基本理论、实际应用技能,并为参加工作打下良好的实践基础。本教材编写特点:

1. 以高职教育为主线,精选实验和实训内容,强化基础,突出能力培养,并保证全书有一定的深度

2. 本书突出以下能力点编写

(1)电工工具、仪器仪表使用能力;(2)电工材料、元器件的选用能力;

(3)电气图的读图、安装、调试和排除故障的能力;(4)电工产品的制作能力;

3. 编写内容注重技能要求的多样性和实用性,选材注重实用的原则,注重使用特性和实例,安装调试等环节。

4. 充分利用现代化教学手段,将做课件配合教学。

二、学时分配

上篇 电工技术基础知识

第1章 电气安全技术基础(4 学时、20千字)第2章 常用电工具和仪器仪表的使用(12 学时、60千字)第3章 常用电工材料和电路元件的选用(12 学时、60千字)第4章 电工基本操作技能(6 学时、30千字)第5章 各种电气图的制图与读图(5 学时、30千字)第6章 变压器(4 学时、25千字)第7章 继电-接触控制线路分析及故障排除(6 学时、20千字)第8章

直流电动机与特殊电动机

(4 学时、20千字)

下篇

电工技能实训

第9章 电工学基本实训(12 学时、60千字)第10章 电工学综合实训(12 学时、40千字)小结 复习参考题

附录(2 学时、10千字)

合计 79 学时、375千字

《电工技术实训教程》目录

上篇 电工技术基础知识

第1章 电气安全技术基础 1.1 用电安全概述

1.1.1人身安全 1.1.2设备安全

1.1.3电气防火与防爆 1.2 触电及急救方法

1.2.1触电种类、原因和形式 1.2.2触电急救方法 1.3 安全用电预防措施

1.3.1安全用电制度措施 1.3.2安全用电技术措施 1.4 接地与接零

1.4.1工作接地 1.4.2保护接地 1.4.3保护接零 1.4.4接地装置 小结 思考题

第2章 常用电工工具和电工仪表的使用 2.1 常用电工工具及其使用 2.1.1通用电工工具 2.1.2专用电工工具 2.2 常用电工仪器仪表

2.2.1常用电工仪器仪表的一般知识

2.2.2 电工测量仪表的选择、使用和维护 2.2.3 常用电工仪表的工作原理 2.2.4 电流表 2.2.5 电压表

2.2.6 钳形电流表 2.2.7指针万用表 2.2.8数字万用表 2.2.9兆欧计 2.2.10 功率计 2.2.11 电度表 2.2.12 直流电桥 2.2.13 转数表

2.2.14 手握式数字转数表 小结 思考题

第3章 常用电工材料和电路元件的选用 3.1 常用电工材料 3.1.1 常用绝缘材料 3.1.2常用导电材料 3.1.3常用磁性材料

3.2 常用电工器件的选用 3.2.1电阻器 3.2.2电位器 3.2.3电容器 3.2.4电感器 小结 思考题

第4章 电工基本操作技能 4.1 常用导线的连接 4.1.1线头绝缘层的剖削 4.1.2导线线头的连接 4.2 常用焊接技术 4.2.1 电烙铁钎焊工艺 4.2.2 电烙铁使用与维护 4.3 拆焊技术

4.4. 室内线管布线工艺 4.4.1室内布线的技术要求 小结 思考题

第5章 各种电气图制图与读图 5.1 电气图的制图

5.1.1绘制简图的布局要求 5.1.2功能表图

5.1.3 电路图的作用和分类及电气简图图形符号和分类 5.2 电气图读图方法

5.2.1读图要求和读图步骤 5.2.2电力系统电气图读图基础 5.2.3 接线图和接线表

5.2.4 读图实例 电梯电气图 小结

思考题

.第6章 变压器

6.1变压器基本知识

6.1.1变压器的型号、分类 6.1.2变压器的技术指标

6.2 特种变压器及变压器类产品 6.2.1电源变压器 6.2.2自耦变压器 6.2.3电焊变压器 6.2.4脉冲变压器 6.2.5仪用互感器

6.4小型变压器的设计与制作

6.3.1

小型变压器的设计方法 6.3.2 变压器线圈的绕制 小结 思考题

第7章 直流电动机与特殊电动机 7.1直流电动机

7.1.1直流电动机的基本结构 7.1.2 直流电动机的工作原理 7.1.3 直流电动机的铭牌和分类 7.1.4直流电动机的运行特性 7.1.5 直流电动机的使用

7.1.6 直流电动机的常见故障及处理方法 7.2 单相异步电动机

7.2.1基本结构

7.2.2 常用单相异步电动机型号介绍 7.2.3单相异步电动机的调速与正反转控制 7.3 同步电机 7.4 伺服电动机

7.4.1交流伺服电动机 7.4.2流伺服电动机 7.4.3直流力矩电动机 7.5 测速发电机

7.5.1 直流测速发电机 7.5.2 交流异步测速发电机 7.6 自整角机

7.6.1基本结构 7.6.2工作原理 7.6.3误差概述

7.6.4 选用时应注意的问题及应用举例 7.7步进电动机

7.7.1工作原理 7.7.2运行特性 7.7.3驱动电源 小结 思考题

第8章 继电-接触控制线路分析及故障排除

8.1低压电器

8.1.1低压电器产品的分类、用途和产品型号含义 8.1.2低压刀开关 8.1.3低压熔断器 8.1.4低压断路器 8.1.5主令电器 8.1.6接触器 8.1.7继电器

8.1.8电磁启动器 8.1.9凸轮控制器 8.1.10电磁铁

8.1.11低压电器常见故障与排除 8.2三相异步电动机基本控制电路

8.2.1三相异步电动机的正转、点动及两地控制 8.2.2三相异步电动机正反转控制

8.2.3三相异步电动机的顺序控制及时间控制 8.2.4双速异步电动机高低速控制 8.2.5电液控制

8.2.6电动机的保护

8.2.7基本控制线路安装及故障排除 8.3典型机械设备电气控制线路分析

8.3.1电气控制线路分析与故障处理

8.3.2 CW6140车床控制线路分析及故障处理 8.3.3 Z3040摇臂钻床控制线路分析及故障处理 8.3.4 X62W铣床控制线路分析及故障处理

8.3.5 M7120型平面磨床控制线路分析及故障处理 小结 思考题

下篇

电工技能实训

第9章

电工技能实训基础

9.1基尔霍夫定律的验证 9.1.1实训目的 9.1.2实训原理 9.1.3实训内容及步骤 9.1.4实训设备: 9.1.5实训报告 9.1.6思考题

9.2戴维南定理和诺顿定理的验证 9.2.1实训目的 9.2.2实训原理

9.2.3实训内容和步骤 9.2.4实训设备 9.2.5实训报告 9.2.6思考题

9.3电压源与电流源的等效变换 9.3.1实训目的 9.3.2实训原理 9.3.3实训设备 9.3.4实训内容 9.3.5实训报告 9.3.6思考题 9.4日光灯电路 9.4.1实验目的 9.4.2实验原理 9.4.3实验设备 9.4.4实验内容 9.4.5实验报告

9.4.6思考题

9.5 RL交流参数测量 9.5.1实训目的 9.5.2实训原理: 9.5.3实训设备 9.5.4实训步骤 9.5.5 注意事项及要求 9.5.6实训报告

9.6三相交流电路电压、电流的测量 9.6.1实训目的: 9.6.2实训原理

9.6.3实训内容与步骤: 9.6.4实训设备 9.6.5实训报告 9.6.6思考题

9.7三相电路的功率的测量 9.7.1实训目的: 9.7.2实训原理: 9.7.3实训设备: 9.7.4实训内容

9.7.5实训注意事项:

9.7.6思考题 9.8单相变压器 9.8.1实训目的 9.8.2实训原理

9.8.3实训内容及步骤 9.8.4实训设备 9.8.5实训报告 9.8.6思考题

9.9三相异步电动机的使用和起动

9.9.1 实训目的 9.9.2实训原理

9.9.3实训内容及步骤 9.9.4实训设备 9.9.5思考题

9.10 异步电动机继电—接触控制的基本电路 9.10.1实训目的 9.10.2实训原理

9.10.3 实训内容和步骤 9.10.4实训设备 9.10.5实训报告 9.10.6思考题 第10章

电工综合实训 10.1常用电工仪表的使用 10.1.1实训目的 10.1.2实训原理 10.1.3实训内容及步骤

10.1.4实训仪器设备

10.1.5实训报告 10.1.6思考题 10.2导线连接

10.2.1实训目的: 10.2.2实训原理: 10.2.3实训内容 10.2.4实训设备: 10.2.5实训报告

10.3三相异步电动机顺序控制

10.3.1实训目的

10.3.2实训原理 10.3.3实训内容和步骤 10.3.3实训内容和步骤 10.3.4实训设备 10.3.5实训报告 10.3.6思考题

10.4 水泵液位自动控制起动电路 10.4.1实训目的: 10.4.2实训原理 10.4.3实训设备 10.4.4实训步骤 10.4.5实训注意事项 10.4.6实训报告

10.5三相异步电动机Y—Δ起动控制电路 10.5.1实训目的 10.5.2实训原理

10.5.3实训内容及步骤 10.5.4实训设备

6.eda实训报告文档 篇六

郑秀枫

09081311 实验一

四位全加器的实现

一、实验目的

1、掌握Quartus9.0图形编辑输入法

2、掌握Quartus环境下文件的编译、仿真及下载方法

3、了解VHDL语言的设计流程

4、掌握quartus环境下VHDL的使用方法

二、实验内容

1、用图形/原理图法实现4位全加器。

2、用VHDL语言实现4位全加器,必须使用元件例化。

3、仿真并通过。

3、下载到实验板,并验收

三、实验步骤

1、图形编辑发设计4位加法器

(1)新建图形文件,设计一位全加器,逻辑电路图如下图(图1-1)所示。

图1-1(2)将设计好的一位全加器进行例化,操作为fileCreate/UpdateCreate symbol files for currentfile,完成此操作后会在元器件符号表里找到刚刚做好的一位全加器。

(3)再新建一个图形文件,用四个已经做好的一位全加器级联成一个四位全加器,其逻辑原理图如图1-2所示。编辑好后保存文件,在文件列表里找到该文件,右键Set as Top-level Entity,将其设置为顶层文件,点击编译按钮就行编译。

计算机09-3班

郑秀枫

09081311

图1-2(4)新建波形文件,赋予每个输入端口某种输入信号,保存波形文件,进行功能仿真,观察输出端波形与输入信号关系是否正确。若不正确,查找问题所在并解决问题;若正确,则进行管脚分配,分配完毕后再编译一次使分配生效,连接DE2开发板到电脑,将文件下载到开发板进行验证。

2、用VHDL语言设计4位加法器

(1)新建一个VHDL源文件,文件名为adder1.vhd,使用VHDL实现一位全加器,其VHDL代码如下:

LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;

ENTITY adde1r IS PORT(A,B,Ci:IN STD_LOGIC;

S,Co:OUT STD_LOGIC);END adder1;ARCHITECTURE qadder OF adder1 IS BEGIN PROCESS(A,B,Ci)

VARIABLE n1,n2,n3:STD_LOGIC;BEGIN

n1:=A AND B;

n2:=A XOR B;

计算机09-3班

郑秀枫

09081311

n3:=Ci AND n2;

Co<=n3 OR n1;

S<=n2 XOR Ci;END PROCESS;END qadder;(2)再新建一个VHDL源文件,命名为adder4.vhd,在这里将adder一位全加器例化并使用它,做成四位全加器,代码如下:

library ieee;use ieee.std_logic_1164.all;entity adder4 is port(A,B:in std_logic_vector(3 downto 0);

S:out std_logic_vector(3 downto 0);Co:out std_logic;Ci:in std_logic);end adder4;architecture adder_4 of adder4 is component adder port(A:in std_logic;

B:in std_logic;

Ci:in std_logic;

Co:out std_logic;

S:out std_logic);

end component;signal c1,c2,c3:std_logic;begin u1:adder port map(A(0),B(0),Ci,c1,S(0));u2:adder port map(A(1),B(1),c1,c2,S(1));

u3:adder port map(A(2),B(2),c2,c3,S(2));

u4:adder port map(A(3),B(3),c3,Co,S(3));end adder_4;(3)保存文件后将adder4设置为顶层文件并编译,编译通过后按照与图形编辑发一样的仿真、管脚分配方式进行操作,最后下载到开发板验证

四、实验现象

两种方式实现的四位加法器下载到DE2开发板后都可正常工作,其中使用SW0作为低位的进位,SW4~1作为数据B,SW8~5作为数据A,LDG3~0作为输出的结果,LEDG4作为输出的进位。当SW4~1闭合 SW8~5和SW0断开时,只有LEDG3~0这四个灯亮;当SW8~0全闭合时,LEDG4~0灯全亮。

计算机09-3班

郑秀枫

09081311

中国石油大学(华东)

课题名称

实验项目名称 专业

姓名

EDA设计

实验一四位全加器的设计

计算机科学与技术

上一篇:高中语文课本中的写作素材整理下一篇:采油工中级考试试卷