数字电路课程设计--数字抢答器

2024-10-26

数字电路课程设计--数字抢答器(精选15篇)

1.数字电路课程设计--数字抢答器 篇一

一、概述

大学四年的学习生活中,会遇到各种各样的竞赛,抢答器便成为了主要的工具之一。而现在的抢答器智能化越来越强,这必然会提高抢答器的成本。本抢答器与其他抢答器电路相比较成本低、制作方便,并且还有作弊显示功能。因此,这款四路抢答器摒弃了成本高、体积大、操作复杂等不足。我们采用了数字显示器直接指示,因而本抢答器具有显示直观,操作简单的特点。

二、主要功能及技术指标

抢答器的工作原理是用矩阵式键盘进行抢答。采用动态显示组号。主持人按下开始抢答键才可以抢答。主持人没有按下开始抢答按纽(P3.2),有人抢答则抢答违规,报警并显示组号,主持人按下开始抢答开关重新抢答。主持人按下开始抢答按纽(P3.2),蜂鸣响声提示,且数码管10秒倒计时(10秒内抢答有效),有人在10秒抢答,蜂鸣器响声提示并显示他的组号,3秒开始20秒倒计时(20秒内必须回答完问题)。20秒后主持人按下复位开关为下一题的抢答做准备。单片机最小系统、抢答按键模块(四位并行数码显示、1*4矩阵式键盘)、显示模块、抢答开关模块、蜂鸣器输出模块。

三、系统组成及原理

1、分图

四、软件

1、分配流程图

初始化开始

20秒回答问题时间到并响声提示调用读键子程序作为延时程序设定定时器初值并启动定时器中断条件是否满足Y开中断并响声提示NN读键盘是否有键按下Y调用显示抢答违规并报警子程序报警提示编号10秒抢答倒计时开始显示显示违规者编号是否有按键按下NY调用抢答者获得回答的子程序10到时中断并返回响声提示设定定时器初值并启动显示抢答者并且3秒后倒计时RET3

2、源程序

ORG 0000H LJMP MAIN ORG 0013H LJMP ESS1 ORG 0100H MAIN:SETB EA SETB EX1 SETB IT1;外部中断1初始化 L16:MOV P1,#0FFH MOV R2,#00H CLR P1.0 INC R2 JB P1.4,L0 LCALL DE0 L0:INC R2 JB P1.5,L1 LCALL DE0 L1:INC R2 JB P1.6,L2 LCALL DE0 L2:INC R2 JB P1.7,L3 LCALL DE0 L3:SETB P1.0 CLR P1.1 INC R2 JB P1.4,L4 LCALL DE0 L4:INC R2 JB P1.5,L5 LCALL DE0 L5:INC R2 JB P1.6,L6 LCALL DE0 L6:INC R2 JB P1.7,L7 LCALL DE0 L7:SETB P1.1 CLR P1.2 INC R2 JB P1.4,L8 LCALL DE0 L8:INC R2

JB P1.5,L9 LCALL DE0 L9:INC R2 JB P1.6,L10 LCALL DE0 L10:INC R2 JB P1.7,L11 LCALL DE0 L11:SETB P1.2 LJMP L16;读行列式键盘 ESS1:MOV 70H,#30D;外部中断1 MOV R7,#0CH CLR P3.0 S2:LCALL DELAY DJNZ 70H,S2 SETB P3.0;蜂鸣器提示开始抢答 MOV TMOD,#00010000B MOV R3,#0AH L20:MOV 55H,#14H L19:MOV TH0,#3CH MOV TL0,#0B0H;定时器1初始化 SETB TR1;启动定时器1 MOV A,R3 MOV B,#0AH DIV AB MOV DPTR,#TAB MOVC A,@A+DPTR MOV 53H,A CLR P2.4 MOV P0,53H LCALL DELAY1 SETB P2.4 MOV A,B MOVC A,@A+DPTR MOV 54H,A CLR P2.5 MOV P0,54H LCALL DELAY1 SETB P2.5 L18:JNB TF1,L18 CLR TF1 DJNZ 55H,L19 DEC R3 CJNE R7,#00H,D6

LJMP D5 D6:CJNE R3,#0FFH,L21 LJMP L22 L21:LJMP L20;抢答倒计时 L22:MOV 73H,#02D S5:MOV 70H,#20D MOV 71H,#20H CLR P3.0 S4:LCALL DELAY DJNZ 70H,S4 SETB P3.0 S6:LCALL DELAY DJNZ 71H,S6 DJNZ 73H,S5;抢答倒计时时间到声音提示 D5:RETI DE0:MOV DPTR,#TAB;抢答违规报警并显示抢答违规组号 MOV A,R2 MOV B,#0AH S10:MOV 72H,#20D MOV 73H,#10D CLR P3.0 S8:LCALL LCC DJNZ 72H,S8 SETB P3.0 S9:LCALL LCC DJNZ 73H,S9 DJNZ 71H,S10 L17:LCALL LCC LJMP L17 LCC:CLR P2.4 MOV P0,50H LCALL DELAY DELAY1:MOV P1,#0FFH;正常抢答读键 MOV R4,#250D W17:MOV R2,#00H CLR P1.0 INC R2 JB P1.4,W0 LCALL DE1 W0:INC R2 JB P1.5,W1 LCALL DE1 W1:INC R2 JB P1.6,W2 LCALL DE1 W2:INC R2 JB P1.7,W3 LCALL DE1 W3:SETB P1.0 CLR P1.1 INC R2 JB P1.4,W4 LCALL DE1 W4:INC R2 JB P1.5,W5 LCALL DE1 W5:INC R2 JB P1.6,W6 LCALL DE1 W6:INC R2 JB P1.7,W7 LCALL DE1 W7:SETB P1.1 CLR P1.2 INC R2 JB P1.4,W8 LCALL DE1 W8:INC R2 JB P1.5,W9 LCALL DE1 W9:INC R2 JB P1.6,W10 LCALL DE1 W10:INC R2 JB P1.7,W15 LCALL DE1 W15:SETB P1.3 DJNZ R4,W16 LJMP W18 W16:LJMP W17 W18:RET DE1:MOV P1,#0FFH;MOV 70H,#20D CLR P3.0 S3:LCALL DELAY DJNZ 70H,S3 SETB P3.0 SETB P2.0 抢答成功声音提示及回答问题时间20秒倒计时7

SETB P2.1 MOV DPTR,#TAB MOV A,R2 MOV B,#0AH DIV AB MOVC A,@A+DPTR MOV 56H,A MOV A,B MOVC A,@A+DPTR MOV 57H,A MOV TMOD,#00000001B MOV R5,#16H L32:MOV R6,#14H L31:MOV TH0,#3CH MOV TL0,#0B0H SETB TR0 CLR P2.4 MOV P0,56H LCALL DELAY SETB P2.4 CLR P2.5 MOV P0,57H LCALL DELAY SETB P2.5 CJNE R5,#14H,L34 LJMP L35 L34: JC L35 LJMP L30 L35: MOV A,R5 MOV B,#0AH DIV AB MOVC A,@A+DPTR MOV 58H,A MOV A,B MOVC A,@A+DPTR MOV 59H,A CLR P2.6 MOV P0,58H LCALL DELAY SETB P2.6 CLR P2.7 MOV P0,59H LCALL DELAY SETB P2.7 L30:JNB TF0,L30 CLR TF0 DJNZ R6,L31 DEC R5 CJNE R5,#0FFH,L32 MOV P1,#0FFH MOV 70H,#50D CLR P3.0 S7:LCALL DELAY DJNZ 70H,S7 SETB P3.0 MOV P2,#0FFH MOV R3,#00H MOV R7,#00H RET DELAY:MOV 51H,#10D;延时子程序 D0:MOV 52H,#248D D1:DJNZ 52H,D1 DJNZ 51H,D0 RET TAB:DB 3FH,06H,5BH,4FH,66H,6DH,7DH,07H,7FH,6FH END

五、分析

这次实训遗憾的是,我的实训材料是买的成品的板,没有自己去焊制自己的实训板,当然这节省了一些时间,但是,在原理图上确实也花费了一些时间。对于四路抢答器的程序方面,也有需要改进的地方,有很多的不合适的地方,比如,在违规抢答倒计时方面,当你违规抢答时,也会出现20秒的倒计时,和正常抢答的一样。所以,在以后的编程和调试过程中还应该注意这种小的毛病。

六、体会

本次实训使我对单片机有课更加深入的了解,以及对汇编语言的编程有了更进一步的体会,知道在编程的过程中会有大量的错误产生,一次一次的修改,有时真的比较麻烦。在最初读程序的过程中,也遇到过大量的程序读不懂,在编程的过程中,确实话费了很多的时间。

在此我非常要感谢的是各位知道老师不遗余力的指导,同样也非常感谢同学的帮助,在我不懂程序时帮助我完善程序。这次实训能够顺利的完成,当然与我个人的努力也是分不开的。

七、参考文献

[1]张鑫《单片机原理及应用》电子工业出版社2010年

[2]李泉溪《单片机原理与应用实例仿真》北京航天航空大学出版社 2009年

[3] 张洪润《单片机应用设计200例》北京航空航天大学出版社2006年 [4] 万光毅《单片机实验与实践教程》北京航天航空大学出版社2003年

2.数字电路课程设计--数字抢答器 篇二

在经济、科技高速发展的今天,人才已成为社会最重要的资源之一。人才选拔活动愈加频繁,竞争日益激烈。为了减少主持人的主观判断误差,便于监督,公平公正,需要设计一种性能稳定可靠、成本低、技术先进的知识竞赛抢答器。目前,形式多样的抢答器已广泛服务于电视台、商业机构、学校及企事业单位,为各种竞赛增添了知识性、娱乐性和刺激性。在已开发的知识竞赛抢答器产品中主要采用采单片机技术、数字集成电路及PLC技术等。文中设计的抢答器以FPGA器件为核心,具有可靠准确的判别能力,计时精确,使用简单等特点。

1 总体方案设计

1.1 系统功能

将智力竞赛抢答器设计为三种工作方式:①主持按下启动键后,秒时钟计时开始,在规定时间30s之内某选手按下抢答键时,对应席位指示灯亮,表示正常抢答,秒时钟自动停止;②主持人按下启动键后,秒时钟计时开始,30s时还没有选手按下抢答键,表示放弃抢答,秒时钟自动停止;③主持人未按下启动键时,某选手就按下抢答键,对应席位指示闪动,表示犯规抢答。另外,当第一个选手按下抢答键后,电路将其它各组按键封锁,使其不起作用。采用动态扫描方式显示时间。电路可自动复位或由主持人将其复位。

1.2 主要技术性能指标

(1)选手席位数量:

8个。

(2)席位指示灯:

LED发光二极管

正常抢答:亮

犯规抢答:闪烁

(3)抢答时间范围:

0s~30s。

(4)时间显示方式:

LED数码管,两位,共阳极,动态扫描。

(5)抢答速度识别时间:

1/1000s。

(6)按键锁定方式:

自动。

(7)复位方式:

自动,延迟时间为9s。

2 系统设计描述

2.1 电路结构

根据总体设计方案,将智力竞赛抢答器划分成四个子系统和一个控制器。其中,输入子系统由抢答键、锁存器组成;时间显示子系统由计时器、动态扫描译码电路和LED数码管组成;席位指示子系统由席位灯驱动电路、发光二极管组成;时钟子系统由时钟信号源、分频器组成;控制器由启动键、启动电路、判断电路和复位电路组成。智力竞赛抢答器电路结构如图1所示。

2.2 电路工作原理

在图1中,主持人按下启动键后,启动电路输出信号Q为高电平,计时器开始对1Hz时钟信号进行计数,经过译码后显示计时时间(00s~30s)。当某选手按下抢答键时(高电平有效),该信号被锁存器保存起来,并经过席位灯驱动电路输出高电平,对应的发光二极管亮,表示正常抢答。同时,判断电路输出信号T为高电平,使计时器停止计数,锁存器处于保持状态,其它各组选手再按键无效。若没有选手按下抢答键,计时到30s时自动停止,输出信号END30为高电平;如果启动键未按下,某选手就按下抢答键,锁存器将该信号保存,并经过席位灯驱动电路输出1Hz时钟信号,对应的发光二极管闪烁,表示犯规抢答,同时判断电路输出信号F为高电平,使锁存器、启动电路处于保持状态,再按抢答键、启动键无效。

复位电路工作状态由判断电路和计时器来控制。当输出信号TF或END30有一个为高电平时,电路处于计时状态,经过9s延时/END9端输出一个低电平信号,将启动电路、锁存器和计时器复位。分频器可将时钟信号源输出的高频率时钟信号分频为1Hz和1kHz时钟信号,1Hz时钟信号用于计时和席位灯闪烁,1kHz时钟信号用于动态扫描译码控制和抢答速度识别。

3 电路设计

3.1 启动电路

启动电路由D触发器和与门组成,如图2所示。

使能端S状态由判断电路输出F控制,锁存器初始状态时(Q0~Q8均为0),F=0,经反相后使S=1,启动电路处于等待状态。主持人按下启动键时,从START端输入一个脉冲,D触发器被置成1状态,即Q=1,表示选手可以抢答,同时计时器开始计数;若主持人未按下启动键就有选手抢答,F=1,表示犯规抢答,经反相后使S=0,启动电路处于保持状态,再按启动键无效。/CLEN为清零端,与复位电路输出端/END9连接,低电平有效。

3.2 判断电路

判断电路由若干个门电路组成,如图3所示。输入端D1~D8与锁存器输出端Q1~Q8连接。

使能端S连接启动电路输出端QQ=1时,S=Q=1,若D1~D8中有1状态,则T=1、F=0,表示被锁存的信号是抢答信号。T=1,计时器停止计数;Q=0时,S=0,若D1~D8中有1状态,则T=0、F=1,表示被锁存的信号是犯规信号。由图可知,T=1或F=1,输出端/OFF状态均为0,此时,锁存器处于保持状态,而复位电路则为计数状态。

3.3 计时器

计时器电路如图4所示,两片74160接成一个同步三十进制加法计数器,输出端与动态扫描译码电路连接。使能端S、STOP和/CLRN分别连接启动电路输出端Q、判断电路输出端T和复位电路输出端/END9。按下启动键时,Q=1、T=0、/END9=1,则S=Q=1、STOP=T=0,/CLRN=/END9=1,电路处于计数状态,对CLK端输入的1Hz时钟信号进行计数,Q6~Q1端依次输出000000(0)~110000(30)。计数到110000(30s)时,END30端状态由0跳变为1,电路进入保持状态,同时启动复位电路。若在计数过程中有选手抢答,则T=1,STOP=T=1,电路停止计数,并进入保持状态。/CLEN为清零端,与复位电路输出端/END9连接,低电平有效。

3.4 复位电路

复位电路由一片74160接成一个同步九进制加法计数器。

时钟信号源输出的时钟信号频率很高,通过分频器将其分频为1Hz和1kHz时钟信号。1Hz时钟信号用于计时和席位灯闪烁,1kHz时钟信号用于动态扫描译码控制和抢答速度识别。智力竞赛抢答器电路如图5所示。

4 结果与分析

智力竞赛抢答器的仿真波形如图6所示。从图中可以看出,START端无信号输入时,若K1端输入一个脉冲,表示有犯规抢答,对应的L1端输出1Hz时钟信号,用其驱动1号席位灯闪烁,经过9s延时,系统自动复位;START端输入一个脉冲后,计时开始,QAQG端输出时间的译码信号。E1为1,个位时间译码,而E2为1,十位时间译码。当K2端输入一个脉冲时,表示正常抢答,对应的L2端输出1(高电平),用其驱动2号席位灯亮,同时QAQG端输出状态不变,即计时停在4s,再经过9s延时,系统自动复位。智力竞赛抢答器现已在自主研发的FPGA创新开发实验箱上实现,技术性能达到了设计要求。

摘要:设计一种集抢答、定时、计时、违例、组号显示、声讯、自动复位的智能化竞赛设备。采用EDA技术进行了电路设计与仿真,硬件电路已在自主研发的FPGA创新开发实验箱上实现,技术性能达到了设计要求。

3.数字电路课程设计--数字抢答器 篇三

关键词:数字电路;实践创新;智能实训室

《数字电路》课程是电子信息工程、通信工程、电气自动化等行业领域的重要专业基础课,几乎所有高职高专的电子信息大类都开设有这门课程,在专业课程体系构建和人才培养方案中具有不可或缺的地位。通过本课程的学习,主要是使学生获得数字电路与逻辑设计的基本理论、分析和设计方法,熟悉中大规模集成电路的应用。本课程的学习目标是培养学生的数字电路设计、分析及应用的能力,为后续专业课程的学习打好基础。同时通过大量的课程实践培养学生创新精神、协作互助能力、应变能力,提高学生职业素养,在职业岗位上具有较高的专业实践能力和较强的适应力。本文将具体以数字电路课程的实践环节如何进行实践教学模式创新,深化实践教学改革提高教学质量的问题进行分析探索。

一、《数字电路》课程实践教学存在的问题

《数字电路》课程包括基础逻辑门电路、组合逻辑电路、时序逻辑电路等教学内容,基本要求学生掌握常用电子元器件和集成芯片的识别、测试及使用方法,能够看懂元器件特别是集成芯片的参数,并根据实际电路和集成电路手册选择元器件;进阶要求是根据所学理论能够分析一般数字电路的工作原理,由电路图了解其功能,能够对数字单元电路的工作参数进行分析、计算,并能对主要元器件的作用进行分析;高阶要求是学生能利用所学的理论知识和掌握的实际技能设计一些中小型数字电子产品。这都需要教师开展内容详实、形式多样的实践教学设计才能满足。

但是由于数字电路课程的基础性,传统实践课堂大多采用教师理论讲解完后加试验箱验证。教师直接讲授课程理论和重难点,并告知学生重要的公式、结论和定理。学生被动的充当受众,接受教师的灌输和引导。无论是验证性的实验环节,还是采用面包板动手进行的数电课程设计环节,由于学生的智力、个体差异的不同教师很难让所有学生都掌握和提升实践技能,而且不能激发学生的学习兴趣和探究精神,学习效果不好。同时一对多的实践过程指导,教师长时间做重复性的劳动工作不仅效率低下而且容易滋生倦怠情绪,同时师生之间缺乏教学互动,学生的实训参与度和完成度不高。

针对以上暴露的问题,伴随着电子通信技术的迅速发展,笔者尝试用智能实训室这种方案来解决上述问题。

二、智能实训室在《数字电路》课程的应用

为帮助学生掌握数字电路课程内容提升专业技能,同时激发学生的主动探究的学习精神,真正实现自主化、不间断的全方位学习,笔者所在教研室大胆采用了智能实验室这种实践教学创新模式。所谓的智能实验室,通俗的讲就是用一套智能实验室管理系统将实验室的各种仪器设备进行互联,通过教师终端主机进行统一管理和控制。教师可以参与企业联合定制个性化的实验室需求,针对课程特点创建各种实训室管理单元和模块。

首先教师针对课程实训项目所需要的实训仪器、设备、常用集成电路板等需求进行分析和整理,报给知名的仪器制造商企业进行量身定制,智能实验室可以把整个教室里所有的仪器设备连接在网络上,通过有线或无线网对所有仪器设备进行远程监控和管理。所有网络相关设备都符合通用网络标准,使用标准的有线或无线路由器,以及标准的无线网卡进行网络连接。可以使用现有网络进行连接,也可以独立建立专用的局域网络。老师在服务器主机上就可以随时了解所有仪器设备的状态,包括整个教室的实验台连接情况,每个实验台上的仪器是否开机,仪器与网络系统的连接是否正常等,这样能帮助老师减轻授课压力,提高效率,降低重复劳动。

在实训课堂上,老师不用走到学生身边,就可以在远程随时查看仪器的工作情况和学生的试验进度,例如示波器显示波形,电源输出状态等,方便对学生的实训过程进行监控和掌握。若发现个别同学操作失误导致仪器数据不正常,老师可以远程控制仪器,修改仪器设置,防止同学误操作损坏仪器进行一对一的个性化指导。同时教师可以随时记录学生操作界面的波形并投射到教室大屏幕给其他学生做对比参考。智能实验室支持学生随时保存实验数据,并在线提交设计报告。同时教师可以将仪器操作手册、芯片引脚信息等资料生成在线二维码,学生可以随时通过扫描二维码用手机下载实验资料,方便课后查看和学习。智能实训室还为课程选配了虚拟仪器、仿真设计工具等降低学习难度,进行自适应、开放式的学习。

课后学生还可以登录智能实训室合作方企业的远程培训平台,接受企业的远程在线学习。这样可以进一步缩短学校和企业的距离,让学生更早的适应就业环境和工作环境。学生可以随时掌握了解企业最新的仪器设备的信息,最全的芯片资料手册,接触最真实的企业项目,让他们了解课程学习内容的实际应用来激发他们的学习兴趣。同时在电路设计的过程中遇到的任何专业性问题可以选择在线提问,由企业的培训工程师在线答疑,帮助他们更好的突破重难点,掌握技能。

今后智能实验室的功能还可以更加强大,比如可以将实验室的工位信息上传,学生可以课后用手机或者电脑在线选位,教师远程授权门禁信息,方便教师随时随地对实验室进行管理。同时可以建立一个资源共享库上传云端,学生可以随时查看自己的实验数据、实验报告和实验成绩。甚至学校可以将不同的实验室实现云端互联,避免资源浪费提高实验室的利用率,这些都是今后要做的改进和尝试。

三、结束语

4.数字电路课程设计--数字抢答器 篇四

1)设计题目

简易数字频率计

2)设计任务和要求

要求设计一个简易的数字频率计,测量给定信号的频率,并用十进制数字显示,具体指标为:

1)测量范围:1HZ—9.999KHZ,闸门时间1s;

HZ—99.99KHZ,闸门时间0.1s;

HZ—999.9KHZ,闸门时间10ms;

KHZ—9999KHZ,闸门时间1ms;

2)显示方式:四位十进制数

3)当被测信号的频率超出测量范围时,报警.3)原理电路和程序设计:

(1)整体电路

数显式频率计电路

(2)单元电路设计;

(a)时基电路

(b)放大逻辑电路

(c)计数、译码、驱动电路

(3)说明电路工作原理;

四位数字式频率计是由一个CD4017(包含一个计数器和一个译码器)组成逻辑电路,一个555组成时基电路,一个9014形成放大电路,四个CD40110(在图中是由四个74LS48、四个74LS194、四个74LS90组成)及数码管组成。

两个CD40110串联成一个四位数的十进制计数器,与非门U1A、U1B构成计数脉冲输入电路。当被测信号从U1A输入,经过U1A、U1B两级反相和整形后加至计数器U13的CP+,通过计数器的运算转换,将输入脉冲数转换为相应的数码显示笔段,通过数码管显示出来,范围是1—9。当输入第十个脉冲,就通过CO输入下一个CD40110的CP+,所以此四位计数器范围为1—9999。

其中U1A与非门是一个能够控制信号是否输入的计数电路闸门,当一个输入端输入的时基信号为高电平的时候,闸门打开,信号能够通过;否则不能通过。

时基电路555与R2、R3,R4、C3组成低频多谐振荡器,产生1HZ的秒时基脉冲,作为闸门控制信号。计数公式:来确定。

与非门U2A与CD4017组成门控电路,在测量时,当时基电路输出第一个时基脉冲并通过U2A反相后加至CD4017的CP,CD4017的2脚输出高电平从而使得闸门打开。1s后,时基电路送来第二个脉冲信号,CD4017的2脚变为低电平,闸门关闭,测量结束。数码管显示即为所测频率。当555第三个脉冲送过来的时候,电路保持间歇1S,第四个脉冲后高电平加至R,使计数器复位。为下一次计算准备。

(4)元件选择。

标号

封装

数量

芯片

CD40110

GK7491AG

陶瓷熔扁平

CD4017

62F2X6KE4

陶瓷熔扁平

74LS00

陶瓷熔扁平

74LS10

陶瓷熔扁平

NE555

K104G4

双列直插型号

显示器

七段共阴数码管

电阻

300Ω

1KΩ

5.1KΩ

10KΩ

100KΩ

1MΩ

10KΩ(滑动)

电容

1000PF

0.1μF

100μF

二极管

1N4148

发光LED

开关

单刀双掷

导线

导线

若干

三极管

9014

电源

12V直流电源

4)电路和程序调试过程与结果:

a)、设计逻辑流程:

b)、理论波形图:

c)、仿真波形图:

1)、时基电路

2)、未、已经过施密特的波形:

d)、误差分析:

本实验的误差来自多方面的原因:一、时基电路NE555的滑动变阻器调节导致误差;二、闸门开放时间与信号输入时间的冲突导致测量不准确;三、整体电路的阻抗、容抗对电路信号的影响。

对于第一点,先计算相关的滑动变阻器的相应阻值大小,然后可以在关闭电源的情况下用万用表测量后才进行测量;第二点有点系统的偶然性;第三点可以尽量减少电路布局,从而减少相应的影响。

5)总结

这个电路多处使用了集成IC芯片,让电路更加简洁明了,并且提高了电路的安全性、可行性,减少了整个电路的功耗和整个电路的布线。但是此电路没有完全地符合实验要求:首先,整个电路没有施密特触发器,输入信号放大电路,数码管的小数点驱动,满位报警电路。因此我首先加入以三极管9014为核心的放大电路;然后用74LS00两个双输入与非门构成施密特触发器,对输入信号进行整形;对于报警电路,由于集成IC没有译码电路引脚,所以选择了一个8输入与非门和一个74LS00结成,这样可以充分考虑到唯一性;还有就是它的计数不是直接显示频率,而是显示一个数字,再与闸门的时候计算才可以得出真正的频率。

总体来说,电路还是存在一点小问题没有得到很好的解决,因为74LS00组成的施密特触发器没有很好地整形波,在示波器上出现脉冲波,还得于计算,可以改为以NE555组成的施密特电路。改用其他的数码管驱动,从而驱动小数点。

通过这次实验,让我认识到数字电路的万千变化,集成IC的推出,大大提高安全性和可行性。理解了科学就是力量。最主要是学习到设计电路的思想以为加强自己的焊接能力。让自己的电子技术更上一层楼。

附录:完整的电路PCB图,完整的源程序名列表(不需要把源程序打印出来,作为电子文档提交)。

附录一:

5.数字电路课程设计--数字抢答器 篇五

一、课程题目……………………………………………….2

二、设计要求……………………………………………….2

三、系统框图及说明………………………………………..2

四、单元电路设计…………………………………………..4

五、仿真过程与效果分析

………………………………….12

六、体会总结……………………………………………….13

七、参考文献………………………………………………13

《一》课程设计题目:

交通灯控制电路设计

《二》设计要求:

1、设计一个十字路口的交通灯控制电路,要求南北方向(主干道)车道和东西方向(支 干道)车道两条交叉道路上的车辆交替运行,主干道每次通行时间都设为30秒、支干道每次通行时间为20秒,时间可设置修改。

2、在绿灯转为红灯时,要求黄灯先亮5秒钟,才能变换运行车道;

3、黄灯亮时,要求每秒闪亮一次。

4、东西方向、南北方向车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器进行显示(采用计时的方法)。

5、同步设置人行横道红、绿灯指示。

《三》系统框图及说明:

1、分析系统的逻辑功能,画出其框图

交通灯控制系统的原理框图如图 1-1 所示。它主要由计时电路、主控电路、信号

灯转换器和脉冲信号发生器组成。脉冲信号发生器用的是 555 定时器;计时计数器是

由74LS160 来完成、输出四组驱动信号T0 和T3 经信号灯转换器(4 片7448)来控制信

号灯工作,主控电路是系统的主要部分,由它控制信号灯转换器的工作。

(图1-1)

2、信号灯转换器

状态与车道运行状态如下:

S0:支干道车道的绿灯亮,车道通行,人行道禁止通行;主干道车道的红灯亮,车道禁止通行,人行道通行

S1:支干道车道的黄灯亮,车道缓行,人行道禁止通行;主干道车道的红灯亮,车道禁止通行,人行道通行

S2:支干道车道的红灯亮,车道禁止通行,人行道通行;主干道车道的绿灯亮,车道通行,人行道禁止通行

S3:支干道车道的红灯亮,车道禁止通行,人行道通行;主干道车道的黄灯亮,车道缓行, 人行道禁止通行

G1=1:主干道绿灯亮 Y1=1:主干道车道黄灯亮

R1=1:主干道车道红灯亮,人行道绿灯亮;南北方向人行道红灯亮

G2=1:支干道车道绿灯亮

Y2=1:支干道车道黄灯亮

R2=1:支干道车道红灯亮,人行道绿灯亮;东西方向人行道红灯亮

四.单元电路设计

1.主控电路:

1).原理:

通过一片 74LS160,选择其 4 个状态、分别为(00 01 10 11)分别表示主绿支红、主黄支红、主红支绿、主红支00->(30 秒)01->(5 秒)10->(20 秒)11(5 秒){循环图}。中间延时通过计时电路来实现。

2).原器件的选择及参数:

若选集成计数器74160,74160 是一个具有同步清零、同步置数、可保持状态不变的4 位二进制加法计数器。表1-1 是它的状态表。

表1-1 74160 的状态表

CLR

LOAD

ENP

ENT

CLK

A B D C

QA QB OC OD

0

X

X

X

X

X X X X

0

0

0

0

0

0

0

POS

X X X X

A

B

C

D

POS

X X X X

Count

X

X

X X X X

QA0 QB0 QC0 QD0

X

X

X X X X

QA0 QB0 QC0 QD0

设状态编码为:S0=0000

S1=0001

S2=0010

S3=0011,则其状态表为:

表1-2

状态编码与信号灯关系表

Qd Qc Qb Qa

G1

Y1

R1

G2

Y2

R2

0 0 0 0

0

0

0

0

0 0 0 1

0

0

0

0

0 0 1 0

0

0

0

0

0 0 1 1

0

0

0

0

态的相应控制来分别实现30 秒、5 秒、25 秒。通过7448(2 片)译码器和数码管的连接 的连接实现几个灯时间的显示。

2).原器件的选择及参数:

若选集成计数器74160(2片),采用同步整体置数。译码器7448(2片)、7段

数码管(2个)等。

表1-3 7447 状态表

Inputs

Outputs

No.LT

RBI

D C B A

BI/RBO | a b c d e f g

----|----|-----|-----------|--------|--------------

0 | 1 | 1 | 0 0 0 0 |

| 1 1 1 1 1 1 0

| 1 | X | 0 0 0 1 |

| 0 1 1 0 0 0 0

| 1 | X | 0 0 1 0 |

| 1 1 0 1 1 0 1

| 1 | X | 0 0 1 1 |

| 1 1 1 1 0 0 1

----|----|-----|-----------|--------|--------------

| 1 | X | 0 1 0 0 |

| 0 1 1 0 0 1 1

| 1 | X | 0 1 0 1 |

| 1 0 1 1 0 1 1

| 1 | X | 0 1 1 0 |

| 0 0 1 1 1 1 0

| 1 | X | 0 1 1 1 |

| 1 1 1 0 0 0 0

----|----|-----|-----------|--------|--------------

| 1 | X | 1 0 0 0 |

| 1 1 1 1 1 1 1

| 1 | X | 1 0 0 1 |

| 1 1 1 0 0 1 1

表 1-4 状态编码与时间关系表

开关(s)A

B

C

时间(T)

0

0

0

0

0

0

3)电路接法如下:

3.支干道计时电路

1)原理:

通过 74LS160(2 片)采用串行同步整体置数级连和下一个状态的相应控制来

分别实现30秒、5秒、25秒。通过7448(2片)译码器

和数码管的连接的连接实现几个灯时间的显示。

2).原器件的选择及参数:

若选集成计数器 74160(2 片),采用同步整体置数。译码器 7448(2 片)、7

段数码管(2个)等。基本上与主干道计时电路一样。

表 1-5 状态编码与时间关系表

开关(s)A

B

C

时间(T)

0

0

0

0

0

0

计数器选用集成电路74190 进行设计较简便。74190 是十进制同步可逆计数器,它

具有异步并行置数功能、保持功能。74190没有专用的清零输入端,但可以借助QA、QB、QC、QD 的输出数据间接实现清零功能。

表 1-4

74190 的状态表

CTEN D/U CLK LOAD

A B C D

QA QB QC QD

0

X

X

0

X X X X

A

B

C

D

0

POS

X X X X

Count Down

0

0

POS

X X X X

Count Up

X

X

X

X X X X

Qa0 Qb0 Qc0 Qd0

图1-5

现选用两个 74190 芯片级联成一个从 99 倒计到 00 的计数器,其中作为个位数的

74190 芯片的CLK 接秒脉冲发生器(频率 为 1),再把个位数 74190 芯片输出端的QA、QD 用一个与门连起来,再接在十位数 74190 芯片的CLK 端。当个位数减到0时,再减1

就会变成9,0(0000)和9(1001)之间的 QA、QD 同时由 0 变为1,把QA、QD 与

起来接在十位数的CLK 端,此时会给十位数 74190 芯片一个脉冲数字减1,相当于借位。具体连接方法如图 1-5所示。

信号 LD 由两个芯片的8 个输出端用或门连起来,决定倒计时是置数,还是计数

工作开始时,LD为0,计数器预置数,置完数后,LD 变为 1,计数器开始倒计时。当倒

计时减到数00 时,LD 又变为 0,计数器又预置数,之后又倒计时,如此循环下去。

图 1-6

预置数(即车的通行时间)功能:如图 1-6所示,8个开关分别接十位数 74190 芯

片的D、C、B、A 端和个位数 74190 芯片的D、C、B、A 端。预置数的范围为6~98。

假如把通行时间设为45 秒,就像图1-5的接法,A 接 0,B 接 1,C 接 0,D 接 0,E 接

0,F 接 1,G 接 0,H 接 1。(接电源相当于接 1,悬空相当于接 0)

图 1-7

向译码器提供模5 的定时信号T5 和模0 的定时信号T0,它表示倒计时减到数“00”

(也即绿灯的预置时间,因为到00时,计数器重新置数),T =1,此时T 给译码器一个脉

冲号灯发生转换,一个方向的绿灯亮,另一个方向的红灯亮。接法 为:把两个74190 计数

器的8 个输出端用一个或非门连起来。T 表示倒计时减到数“05”时。T =1,此时T 给译

码器一个脉冲,使信号灯发生转换,绿灯的变为黄灯,红灯的不变。接法为:当减到数为“05”

(0000 0101)时,把十位计数器的输出端QA.QB、QC、QD连同个位计数器的输出端QB、QD用一个或非门连起来,再把这个或非门与个位计数器的输出端QA、QC用一个与门连接

起来。具体连接方法如图1-7 所示。

4、黄灯闪烁控制

要求黄灯每秒闪一次,即黄灯0.5 秒亮,0.5 秒灭,故用一个频率为2 的脉冲与控制黄

灯的输出信号用一个与门连进来,再接黄灯。

图 1-8

《五》 仿真过程与效果分析

1、根据题目的要求,整个交通灯控制系统需要有4 个时间显示器,10 个交通灯。但由于 4 个时间显示器是由同一个倒计时计数器控制,所以我在设计图 1-8 电路的过程中,为了简化电路使画图看起来更加清晰,就只接了1 个时间显示器。

另外由于人行道的红绿灯跟车道的红绿灯是同步的,分别是:东西方向人行道的绿灯接车道的红灯,红灯接南北方向车道的红灯;南北方向人行道的绿灯接车道的红灯,红灯接车道的红灯。所以在图1-8 电路中就只接了6 个灯。

2、为了使电路更加直观,我把计数器、信号灯灯转换器等放在一个名为main 的子电路中。然后再在子电路外面接输入端和输出端。

3、点击启动按钮,然后再打开总开关,便可以进行交通灯控制系统的仿真,电路默认把通车时间设为45 秒,打开总开关,东西方向车道的绿灯亮,人行道的红灯亮;南北方向车道的红灯亮,人行道的绿灯亮。时间显示器从预置的 45 秒,以每秒减 1,减到数 5 时,东西方向车道的绿灯转换为黄灯,而且黄灯每秒闪一次,其余灯都不变。减到数 1 时,1 秒后显示器又转换成预置的45 秒,东西方向车道的黄灯转换为红灯,人行道的红灯转换为

绿灯;南北方向车道的红灯转换为绿灯,人行道的绿灯转换为红东西方向灯。如此循环下去。

4、修改通车时间为其它的值再进行仿真(时间范围为6~98 秒),效果同3 一样,总开关一打开,东西方向车道的绿灯亮,时间倒计数 5,车灯进行一次转换,到0 秒时又进行转换,而且时间重

置为预置的数值,如此循环。

《六》体会总结

1、通过这次课程设计,加强了我动手、思考和解决问题的能力。

在整个设计过程中,我总共想过两个方案,另一个方案弄了两天,结果总是实现不了题目的要求。所以我又花了一天的时间做出这个方案,这个相对另一个方案比较简单,包括电路原理和连接,和芯片上的选择。这个方案总共只用了四个芯片,分别为 2 个74190 计数器,2个 JK触发器。

2、在设计过程,经常会遇到这样的情况,就是心里想老着这样的接法可以行得通,但实际接上电路,总是实现不了。所以这几天不管是吃饭还是睡觉,脑子里总是想着如何解决这些问题,如何想出更好的连接方法。不过说也奇怪,整天想着这些问题,脑子和身体却一点都不会觉得累。或许是那种渴望得到知识的欲念把疲劳赶到九宵云外去了吧!

3、我沉得做课程设计同时也是对课本知识的巩固和加强,平时看课本时,有时问题老是弄不懂,做完课程设计,那些问题就迎刃而解了。而且还可以记住很多东西。比如一些芯片的功时看课本,这次看了,下次就忘了,主要是因为没有动手实践过吧!认识来源于实践,实践是认识的动力和最终目的,实践是检验真理的唯一标准。故一个小小的课程设计,对我们的作用是如此之大。《七》

6.数字电路课程设计--数字抢答器 篇六

先修课程:高等数学、普通物理、电路与电子学

(一)课程地位、性质和任务

《数字电路与逻辑设计》是计算机科学与技术专业的主干课程,是一门专业技术基础课。它不仅为《计算机组成原理与汇编程序设计》、《微机接口技术》、《计算机系统结构》、《数据通信与计算机网络》等后续课程提供必要的基础知识,而且是一门理论与实践结合密切的硬件基础课程。

(二)课程教学基本要求

本课程是计算机科学与技术专业的一门专业基础课程,通过本课程的学习,使学生熟悉数字电路的基础理论知识,理解基本数字逻辑电路的工作原理,掌握数字逻辑电路的基本分析和设计方法,具有应用数字逻辑电路,初步解决数字逻辑问题的能力,为学习计算机硬件打下扎实的基础。

(三)课程主要内容及学时分配

第一章 逻辑代数基础

逻辑代数是分析和设计数字电路的数学工具,本章主要介绍逻辑代数的公式、定理及逻辑函数的化简方法,要求掌握常用进制及其转换,基本和常用逻辑运算,逻辑代数的公式、定理,逻辑函数的公式、图形化简化,逻辑函数的五种表示方法及相互之间的转换。教学重点:

逻辑代数的公式、定理,逻辑函数的公式、图形化简法。教学难点:

公式、定理、规则的正确应用,逻辑函数化简的准确性。方法提示:

通过多举例子,多做练习以提高对公式应用的熟练性。

第二章 逻辑门电路

集成逻辑门是构成数字电路的基本单元,本章主要介绍MOS和TTL集成逻辑门的逻辑功能的电气特性。要求掌握高、低电平与正、负逻辑的概念,二极管、三极管、MOS管的开关特性,熟悉二极管与门和或门,三极管非门的电路结构及工作原理,掌握其电气特性和功能。掌握与门、或门、非门、与非门、或非门、与或非门、异或门、三态门、OC门、CMOS传输门的逻辑符号、逻辑功能,熟悉各种门电路的特点和使用方法。教学重点:

CMOS和TTL集成门电路重点是外部特性,即逻辑功能和电气特性。教学难点:

CMOS和TTL集成门电路的电气特性

方法提示:

理论与实践相结合,加深对TTL集成门电路的电气特性的理解掌握。

第三章 组合逻辑电路

本章主要介绍组合逻辑电路的分析和设计方法以及常用典型组合电路的功能、应用。要求掌握组合电路的特点、基本分析和设计方法。掌握编码器、译码器、数值比较器、数据分配器、数据选择器、加法器等常用组合电路的功能、应用及实现方法。熟悉典型中规模集成组合逻辑器件的功能及用中规模集成器件实现组合逻辑函数的方法,了解组合电路中的竞争冒险。

教学重点:

组合逻辑电路的分析和设计方法,常用中规模集成器件的功能和应用。教学难点:

组合逻辑电路的设计

方法提示:理论联系实际,加深理解记忆。

第四章 触发器

本章主要介绍各类触发器的逻辑功能及触发公式,它是构成时序电路的基本单元,要求熟悉RS、JK、D、T触发器的电路结构、工作原理,掌握RS、JK、D、T触发器的逻辑符号、逻辑功能表示方法、触发方式及触发器间的相互转换。教学重点:

各类触发器的逻辑功能及触发方式。教学难点:

触发器的触发方式。方法提示:

多举例、多看、多练习,在第五章时序逻辑电路的教学中再强调。

第五章

时序逻辑电路

本章主要介绍时序电路的分析和设计方法,以及计数器等常用典型时序电路的功能及应用。要求:掌握时序电路的特点、分类、功能描述方法,时序电路的基本分析和设计方法。熟悉计算器、寄存器、移位寄存器、顺序脉冲发生器的功能、应用。掌握同步、异步计数器的工作原理,常用中规模集成计数器的功能、应用以及用中规模集成计数器构成N进制计数器的方法。

教学重点:

时序电路的分析和设计方法,计数器、寄存器的功能、分类,常用中规模集成计数器功能、应用。

教学难点:

时序逻辑电路的设计方法。

第六章

半导体存储器

本章介绍只读存储器(ROM)、随机存储器(RAM)以及存储器的扩展。教学重点:

存储器的扩展 教学难点:

存储器内部结构、原理

第七章 数模、模数转换电路

本章主要介绍D/A转换器和A/D转换器的基本原理,几种典型D/A,A/D转换器电路。要求熟悉D/A,A/D转换器的基本原理及倒T型电阻网络D/A转换器,逐次逼近型、双积分型A/D转换器的基本工作原理。教学重点:

典型D/A,,A/D转换器的基本工作原理。教学难点:

典型D/A,A/D转换器的基本工作原理。

第八章 可编辑逻辑器件

本章介绍可编程逻辑器件(PLD)的基本结构及分类,PLA,PAL,GAL的基本原理特点及应用。

教学重点:

PLD的基本结构,PLA的基本原理、特点及应用。教学难点: PLA、GAL的基本原理、特点及应用。

第九章 可编程逻辑器件的开发及应用

自学提高

第十章 数字电路CAD技术

自学提高

(四)使用教材及参考书目:

1、使用教材

《数字电路与逻辑设计》

子节涛等编著

国防科技大学出版社

2、参考书目

《数字电子技术基础》

阎石主编

高等教育出版社 《数字电子技术基本教程》

宋樟林等主编著

《电子技术基础》(数字部分)

康华光主编

高等教育出版社

《操作系统》课程教学大纲

(一)本课程地位、性质和任务

《操作系统》是计算机专业的必修主要课程之一,是研究如何有效地管理、使用计算机的一门学科,为《编译系统》、《计算机网络》、《分布式操作系统》等课程提供必要的基础知识。操作系统是计算机系统必须配置的一种系统软件,几乎所有的计算机系统都离不开操作系统,它在计算机系统中具有举足轻重的地位,它向下隐藏了计算机系统的具体细节,向上为计算机系统中其他软件提供一致的服务和使用界面,为用户提供一个良好的操作环境。通过学习和研究操作系统,可以打破操作系统的神秘性,了解操作系统的内部结构。掌握操作系统的设计方法,熟悉操作系统的操作和使用。为锻炼学生开发系统的综合能力打下扎实的基础。

(二)课程教学的基本要求

该课程采用讲授和上机实验相结合的教学方法,要求学生通过该课程的学习: 正确理解操作系统的概念,分类和形成与发展;特别是操作系统的基本特征和操作系统的功能结构;

正确理解系统的基本工作单位和进程的五大特征,熟悉掌握操作系统中进程管理的功能;

掌握操作系统存储管理有关的基本概念,深入理解几种常用存储管理的基本原理及实现方法;

理解操作系统设备管理的任务,掌握中断技术、通道技术和缓冲技术实现中央处理器与外部设备的并行工作,理解设备的调度和分配;

理解文件系统的功能和文件的安全性,掌握文件系统中文件的组织和存储; 正确理解作业的调度和控制、操作系统的接口;

所学的操作系统原理对现行主流操作系统进行实例分析;

(三)课程主要内容及学时分配

1、操作系统概论

知识点:操作系统的定义、视点及认识;操作系统的基本类型及其特点;操作系统的形成与发展;

重点:掌握操作系统的基本特征和操作系统的地位、作用和效果; 教学难点:虚拟机概念的讲解。

2、处理器管理 知识点:中断、多道程序设计、并发程序设计、进程的概念;进程管理功能;进程的控制及调度;处理器基本工作单位的控制粒度;进程并发的含义;进程的同步机制;进程通信;死锁。

重点难点:处理器管理

3、存储器管理

知识点:存储器管理的基本概念;连续存储空间存储管理的原理实现;非连续存储空间存储管理的原理及实现;虚拟存储空间的概念及实现。重点难点:存储管理

4、文件系统管理

知识点:文件及文件系统的概念;文件目录;文件的共享、保护及保密。重点:文件的组织与存储 难点:文件操作的执行过程。

5、设备管理

知识点:I/O操作与设备和概念;缓冲技术及PnP技术;中断处理及驱动程序。

重点:设备的分配和调度

难点:I/O控制方式及具有通道的I/O系统管理;虚拟设备、设备一致性、设备无关性的概念。

6、作业管理

知识点:操作系统的结构模型;作业管理的概念;作业管理的功能;作业的状态,调度控制等问题;

重点:作业管理的功能;

难点:作业调度与控制。

7、用户接口与操作环境

知识点:操作系统的用户接口的分类;命令接口,程序接口,环境接口的功能与实现; 重点难点:三种接口的功能。

8、操作系统的安全

知识点:操作系统安全性概念;安全机制;安全系统的设计; 重点:系统安全概念与机制; 难点:安全系统的设计。

(四)使用教材与参考书目

1、建议选用教材:刘乃琦,吴跃编著《计算机操作系统》 电子工业出版社。

2、主要参考书:

7.数字电路课程设计--数字抢答器 篇七

一、EDA技术和数字电路课程概况

EDA技术作为电子设计自动化技术, 其是在计算机辅助软件概念技术上发展而来的。EDA技术在实际应用过程中, 常会利用计算机在EDA软件平台上进行相应硬件语言文件设计, 最后通过计算机实现编译、分割、综合、优化、布局和仿真等工作;数字电路作为电子、计算机类等多个工科基础课程, 其主要目标是以数字系统为基础对基本知识、理论及相关电路知识进行相应讨论, 使学生真正明白数字系统中不同逻辑电路并根据相关知识熟练的对相关逻辑电路进行分析, 以便为以后的专业课学习奠定坚实的基础。同时数字电路课程也是一门实践性较强的课程, 很多时候需要学生亲身做实验才能更好的掌握其中知识。然而, 一些学校仍用传统方式进行教学, 再加上知识陈旧, 相应实验只能按照课本进行操作。即便能对进行一些新的实验, 也会因实验复杂而无相应设备和技术而无法正常完成。这样不仅不能激发学生兴趣, 调动学生积极性, 也会增加试验成本, 甚至无法保证数字集成电路实验课程效果。如果将EDA技术应用在数字集成电路设计课程中, 在一定程度上能解决上述问题。为了更好解决上述问题, 还需要EDA技术在数字集成电路设计课程实验中的应用进行相应分析。

二、EDA技术在数字集成电路设计课程实验中的应用

因传统数字集成电路设计课程不能满足现代化发展需求, 有必要对其课程进行改进。EDA作为现代化技术, 其是集仿真、优化、综合、布局等为一体的, 能更好满足时代发展需求, 从而弥补传统电子技术实验经验不足问题。因传统电子技术在一些复杂的电子试验中, 常会因操作不当或受设备条件的制约而无法得到正确的实验结果, 从而无法保证数字集成电路实验正常进行。而将EDA技术用在数字集成电路设计试验中进行相应仿真、优化、综合、布局等一系列操作, 能最大限度的减少操作步骤, 使实验变得更加简单, 因EDA技术是利用电脑进行操作的, 在实际操作过程中也容易找出试验中的错误点, 能及时更正操作中的错误, 以避免在现实试验中出现相应问题, 而造成不必要的浪费。同时EDA技术在实际应用过程中, 也不受课时和实验器材的制约, 其大部分工作是以软件平台来实现的, 即便走出实验室, 也能完成数字集成电路设计并将相应设计直接下载到相应试验箱中, 以方便数字集成电路实际应用过程中能更好的完成相应测试, 从而使试验更加灵活、方便、高效, 也能保证数字集成电路设计课程效果。当学生实践操作中出现相应错误, 通过EDA技术可以对相应实验结果参数进行修正和改进, 不用考虑仪器损坏, 从而真正地实现实验仿真, 激发学生兴趣, 调动学生积极性并提高教学水平。

在实际实验中, 学生能根据已经掌握的知识进行电子自动化操作, 即便实验过程中采用不同电路或用不同语言, 也能实现集成电路功能、结构描述。因此, 要想使数字集成电路设计课程实验效果更佳, 就应该将EDA技术应用在数字集成电路设计课程中。毕竟这种技术在试验中应用, 不仅能激发学生兴趣, 同时也能让学生切实的投入到实践中, 最大限度地提高其学生想象力和创新能力, 也能提高教学水平, 更好完成教学目标。

结束语

数字电路作为电子、计算机、通讯等专业课程的基础, 对不同专业有重要作用。然而, 随着计算机技术和微电子技术的发展, 传统的电路教学方法已经无法满足时代发展需求。EDA作为一种新型技术, 它的出现和在数字集成电路设计课程中的应用, 为数字集成电路课程带来了新机。其在实际工作中不仅能在实验室中仿真完成相应实验, 节省试验成本, 同时也能提高学生实践能力。随着时代的发展, 数字集成电路设计课程将会对EDA技术有更高的要求, 为了更好满足时代发展需求, 还应该对EDA技术进行进一步研究。

摘要:随着时代的发展, 企业对人才的要求越来越高, 不仅要求其具有较高的专业知识水平, 还要有较强的实践能力。而电子类专业实践性较强的专业, 在实际教学过程中, 如果仍然按照就的模式开展数字集成电路设计课程, 是无法满足企业需求的。EDA技术作为仿真技术, 能模拟进行相应实验, 能更好满足现代化数字集成电路设计课程需求。本文主要从EDA技术和数字电路课程概况、EDA技术在数字集成电路设计课程实验中的应用两方面出发, 对EDA实验在数字集成电路设计课程中的应用进行相应分析。

关键词:EDA实验,数字集成电路,设计课程

参考文献

[1]段智勇, 弓巧侠, 罗荣辉, 等.集成电路设计人才培养课程体系改革[J].电气电子教学学报, 2010 (05) .

[2]徐太龙, 孟坚.集成电路原理与设计课程的教学优化[J].合肥师范学院学报, 2011 (03) .

8.数字电路课程设计--数字抢答器 篇八

关键词:分层教学 数字电路与系统 横向分层 纵向分层

中图分类号:G424 文献标识码:A 文章编号:1674-098X(2014)04(a)-0139-02

目前,国内很多高校都尝试在不同课程中实施分层教学,并收到了良好的教学效果。大连理工大学城市学院面向三本招生,生源层次不一,学生学习水平不一,若仍采用传统“一刀切“的课堂集体授课的方法,必将无法区分学生层次,严重影响学生的培养质量。因此,我院自2009级学生开始实施分层教学。该文以大连理工大学城市学院为例,重点研究了在数字电路与系统课程中实施分层教学的探索和实践。

1 横向分层

横向分层,是指同一门课程在不同层次学生之间分层,在我院,学生以A、B班的形式分层上课。横向分层的主要实施如下。

1.1 教材分层

由于A、B班学生的学情不同,若采用同样的教材,则不能充分体现分层教学的思想,故在我院数字电路与系统课程中,A班同学前后采用过王毓银主编的《数字电路逻辑设计》一书(高等教育出版社)、康华光主编的《电子技术基础-数字部分》(第五版,高等教育出版社),这两部教材都是国内数字电子技术领域的经典教材,也是国内多数高校,尤其是重点高校研究生招生考试的指定教材。B班同学采用北京邮电大学出版社唐志宏主编的《数字电路与系统》一书,概述内容简练,理论和实践结合较为密切,适合应用型人才的培养。

1.2 大纲分层

A、B班数字电路与系统课程采取不同的教学大纲,A班教学大纲充分体现研究型人才培养的特点,B班教学大纲则体现了应用型人才培养的特点。以本课程第三章集成逻辑门为例,A班要求掌握CMOS基本逻辑门和TTL基本逻辑门的电路结构、工作原理和特性参数,以达到培养学生自行分析、设计和应用类似电路的目的,B班对这些内容仅要求达到“了解”的程度,而在集成逻辑门的实际应用方面(如CMOS电路和TTL电路的接口问题)提出了更高的要求。

2 纵向分层

纵向分层,是指同一个教学班内再将学生和教学内容划分为不同层次,根據实际情况实施教学。

2.1 同一教学班中学生的再分层

即便在同一个教学班,学生的学情也不尽相同,学生的学习能力、理解能力也是参差不齐的,故需要任课教师通过各种途径,充分了解学生的基本状况,这也是能否有效实施分层教学的基本。以我院2012级数字电路与系统课程A班来讲,本班共98名学生,来自于电子、自动化、电气工程、通信工程等4个专业,并不均匀地分布在14个班级,涉及到14个班导师和4个辅导教师。因此,任课教师在课外花了大量时间,与班导师、辅导教师以及前续模拟电子电路课程任课教师展开调查和交流,争取做到对绝大多数学生的基本学情掌握第一手的资料,在正式开课之前做到心中有数。由于本文作者除了担任数字电路与系统A班的教学任务之外,还担任了数字电路实验、数字电路课程实践、电路理论及实验、模拟电子电路理论及实验、模拟电子电路课程设计等课程教学任务,与学生在不同学期、不同课程之间经常“不期而遇”,有着广泛的学生基础,与学生之间建立了互相信任的和谐师生关系,故在数字电路与系统课程开课之前,就已经很好地掌握了该教学班的基本情况,学生的层次水平已然做到心中有数。

2.2 教学内容的分层

同一门课程的教学内容要自成体系,又要区分层次,这也是分层教学理论提出的基本要求。在确定数字电路与系统教学内容的同时,也要清晰地区分教学内容的层次,并将这些信息准确地传达给学生。以本课程第五章“锁存器和触发器”为例,将教学内容区分为基本概念、原理、方法及应用三个层面,基本概念中,又按照教学要求区分为掌握、理解和了解三个层次,例如基本概念里将“锁存器、触发器、现态、次态”等划分为需要掌握的内容,将“双稳态、触发方式、空翻”等划分为需要理解的内容,将“介稳态”划分为需要了解的内容。

2.3 授课策略上的分层

课堂授课的分层策略对教师提出了更高的要求,要想达到课堂分层教学的良好效果,教师可以从以下几个方面实施:

(1)在授课过程中,将教学内容之间的层次关系,准确无误地传递给学生。教师可以通过讲解时间的长短、是否有配套实例、是否有提问和讨论环节、语音语调的变换等方式达到这一目的,万万不可采用“这些内容是重点,考试一定会考”、“这些内容不重要,考试不考,不讲了”等等此类生硬的话语。

(2)精心设计提问环节。课堂提问是提高师生互动的有效方法,教师在提问之前,一定要准备好需要提问的问题、准备提问哪个层次的学生,甚至要想好如果学生回答不上来应该怎么应对等。

(3)采用多媒体课件和板书有效结合的授课方式,基本内容和重点内容要精讲,提高和创新内容根据学生的理解和接受程度选择性讲解。在教学内容讲解时间的安排和授课时的语音语调来向学生传达不同层次的信息。

2.4 作业的分层

课后作业的选取也要恰当分层,数字电路与系统课程的作业选取分为三个层次:基本题目、提高题目和创新题目。基本题目帮助学生复习和巩固基本知识点,提高题目帮助学生自我检查学习效果,创新题目帮助学生激发学习热情。以数字电路与系统第六章“时序逻辑电路”为例,基本作业为时序逻辑电路的基本概念题,提高作业为同步时序逻辑电路的分析题目,创新作业为“任务驱动型”作业:利用D触发器设计一个四路抢答器,要求学生在课后自己查阅相关资料、选择器件、确定电路结构、到实验室搭建测试电路。实践证明:恰当选取分层作业,既可以帮助学生梳理知识结构,又能帮助学生将理论和实践很好地结合起来,最终达到学以致用的目的。

2.5 考核的分层

考核是考察学生学习效果的重要环节,如何做到科学考核也是分层教学中需要重视的问题。2013年秋季学期数字电路与系统A班学生中分层考核主要分为两个环节:平时测验和期末考试,分层考核主要体现在考察内容的分层上,教师在选取考察内容时,要遵循“基础-提高-选拨”的原则,确保既能保证大部分学生通过,又能将学习优等生区分出来,这三部分内容的比例掌握在8∶1∶1或者7∶2∶1均可。

3 结语

综上所述,在大连理工大学城市学院数字电路与系统分层教学的研究和实践中,主要探索了横向分层和纵向分层的实施方法,通过与学生进行各种形式的沟通和交流以及期末考试卷面成绩分析,分层教学在本课程的教学中收到了良好的教学效果。

参考文献

[1]周光明,彭红军.尊重差异,因材施教,构建“和谐”教学新模式[J].中国大学教学,2007(6).

[2]王旭明.分层教学模式的实验研究[J].学科教育,2002(2).

[3]曹骥.独立学院分层教学的实践与探讨[J].中国成人教育,2007(4).

9.《数字电路》课程负责人岗位职责 篇九

1、认真履行校聘关键岗相应等级的基本岗位职责;

2、熟悉专业基础课的教学规律,承担起《数字电路》课程及其实践课程建设的领导任务,全面负责《数字电路》课程的体系规划、课程建设与教学实施,做好本课程建设。

3、承担教学任务,每学年主讲《数字电路》等系列课程,累计课时不少于112学时,其中必须承担《数字电路》理论课程的全过程讲授以及一周或以上的数字电路实践课的指导工作,教学效果好,教学评测成绩优秀。

4、负责《数字电路》课程师资队伍建设,重视课程组内青年教师的培养,培养青年教师1-2名,有明确的青年教师培养计划和措施,指导青年教师过好“教学关、实践关、科研关”,教学水平有显着提高。聘期内每年指导硕士研究生2名以上。

5、组织本课程的教学改革,建立切实有效、可跟踪的教学质量保证和监控体系。加强教材建设和教材研究,选用高水平优质课本教材,积极组织编写符合我校学生特点和特色的教学辅助教材。

6、积极开展教学方法和教学手段的研究,拓展教学内容,促进教学经验交流,每学期在课程组范围内至少召开一次教学经验研讨会,交流教学经验,不断提高教学水平,在教学改革和课程建设上走在全省的前列。

7、主持1项省级教改项目的研究,项目建设取得较大成果,获省级以上教学成果奖,并以第一作者正式发表教研教改论文一篇以上。

8、作为主持人承担至少1项省级科研项目。平均每至少正式发表1篇科研论文。

9、完成教授岗位职责规定的任务。

10、服从学校、学院其他教学工作的安排。

(二级)

1、认真履行校聘关键岗相应等级的基本岗位职责;

2、熟悉专业基础课的教学规律,承担起《数字电路》课程及其实践课程建设的领导任务,全面负责《数字电路》课程的体系规划、课程建设与教学实施,做好本课程建设。

3、承担教学任务,每学年主讲《数字电路》等系列课程,累计课时不少于112学时,其中必须承担《数字电路》理论课程的全过程讲授以及一周以上的数字电路实践课的指导工作,教学效果好,教学评测成绩优良。

4、负责《数字电路》课程师资队伍建设,重视课程组内青年教师的培养,培养青年教师1名,指导青年教师过好“教学关、实践关、科研关”,教学水平有显着提高。聘期内每年指导硕士研究生2名。

5、组织本课程的教学改革,加强教材建设和教材研究,选用高水平优质课本教材,积极组织编写符合我校学生特点和特色的教学辅助教材。

6、积极开展教学方法和教学手段的研究,为促进教学经验交流,每学期在课程组范围内至少召开一次教学经验研讨会,交流教学经验,不断提高教学水平。

7、主持1项省级教改项目,项目建设取得一定成果,获校级教学成果奖,并以第一作者正式发表教研教改论文一篇以上。

8、作为主要人员参加省级以上科研项目。聘期内至少正式发表2篇科研论文。

9、完成教授岗位职责规定的任务。

10、服从学校、学院其他教学工作的安排。

(三级)

1、认真履行校聘关键岗相应等级的基本岗位职责;

2、熟悉专业基础课的教学规律,承担起《数字电路》课程及其实践课程建设的领导任务,全面负责《数字电路》课程的体系规划、课程建设与教学实施,做好本课程建设。

3、承担教学任务,每学年主讲《数字电路》等系列课程,累计课时不少于112学时,其中必须承担《数字电路》理论课程的全过程讲授以及一周以上的数字电路实践课的指导工作,教学效果好,教学评测成绩优良。

4、负责《数字电路》课程师资队伍建设,重视课程组内青年教师的培养,培养青年教师1名,指导青年教师过好“教学关、实践关、科研关”,教学水平有显着提高。聘期内每年指导硕士研究生1名以上。

5、组织本课程的教学改革,加强教材建设和教材研究,选用高水平优质课本教材,积极组织编写符合我校学生特点和特色的教学辅助教材。

6、积极开展教学方法和教学手段的研究,为促进教学经验交流,每学期在课程组范围内至少召开一次教学经验研讨会,交流教学经验,不断提高教学水平。

7、聘期内作为主要人员参加1项省级教改项目,项目建设取得成果,获校级教学成果奖,并以第一作者正式发表教研教改论文一篇以上。

8、作为主要人员参加省级以上科研项目。聘期内正式发表1篇科研论文。

9、完成教授或副教授岗位职责规定的任务。

10.《数字电路与逻辑设计》考试大纲 篇十

(一)基本要求

1.掌握二进制、八进制、十进制、十六进制及其转换方法,掌握常用编码及其表示十进制数的方法,掌握逻辑代数的逻辑运算、公式和规则,掌握逻辑函数及其表示方法,掌握逻辑函数的化简方法;

2.掌握TTL、CMOS逻辑门的逻辑功能、电气特性、应用和使用注意事项;

3.掌握组合逻辑电路的特点,掌握用传统方法分析和设计组合逻辑电路,重点掌握常见中规模组合逻辑器件(MSI)(译码器、数据选择器、运算电路)的逻辑功能和应用,了解组合逻辑电路中的冒险现象;

4.掌握触发器的分类和逻辑功能,重点掌握主从型、边沿型触发器的特点和应用;

5.掌握时序逻辑电路的特点,掌握时序逻辑电路的分析方法和设计方法,重点掌握常见中规模时序逻辑器件(MSI)(CT74160、CT74161、CT74163、CT7490、CT74194)的逻辑功能和用SSI、MSI器件构成任意模值计数分频器的方法;

6.熟悉半导体存储器(SAM、ROM、RAM)的结构特点、工作原理和扩展方法,掌握ROM、PROM阵列在组合逻辑设计中的应用;了解可编程逻辑阵列(PLA)实现组合和时序逻辑的方法;

7.掌握脉冲信号和脉冲电路的特点,掌握施密特触发器,单稳态触发器和多谐振荡器等脉冲电路的应用,掌握用555定时器构成的施密特触发器,单稳态触发器和多谐振荡器等脉冲电路的工作原理、波形分析及主要参数的估算。

(二)指定参考书:

11.数字集成电路测试仪硬件的设计 篇十一

数字集成电路在仪器中的使用非常广泛,由于其本身的体积小,在数字电路实验室中的性能优劣的判断难度较大,因而,数字集成电路的测试工作一直困扰着业内的研究人员。论文中针对此类问题,提出运用C语言、数字电路、低频电路、单片机原理等知识内容,设计了一台数字集成电路测试仪,并且测试的范围较广,实用性强。文中在集成电路测试仪硬件的设计环节中融入了设计理念、设计要求、设计方法和软硬件的配套等措施,其中涉及到14管脚、16管脚的74LS数字集成优劣的测试,测试仪主要通过发光二极管的对照灯和实测灯的发光情况进行比照,分析数字集成电路的好坏。数字集成电路在日常的应用中非常广泛,因而,涉及出一种简单易用、检测效果好的测试硬件对数字集成电路的分析研究工作具有现实的意义。

一、设计的思路

在常用的数字集成电路的参数测量中,万用表通过测量管脚的电压来判断其好坏具有一定的准确度,但是其测量过程比较复杂,而且准确性难以得到有效的保证。而要对数字集成电路的各项指标进行详细准确的测量,并且还要保证其测量的效率,如果能有一种数字集成电路测量仪器可以共用,即测量的兼容性高,则可以取得良好的效果。数字集成电路通用性的测试仪器为了满足多项内容的测量,结合了通信原理、C语言、汇编语言和数字电路等方面的知识,将测试采集到的数据转换为发光二极管的显示状态来表明情况,发光二极管的显示经过对照灯和实测灯的比较来判断集成电路的好坏,这种测试仪器既可以单个测量,也可以连续性的测量。由于此类测试仪器的通用性强、操作简单、判断迅速,因而在实际应用中极大的节约了测量时间和简化了操作流程,可行性和可靠性都得到了很大的提升。

二、总体设计

2.1测试指标的参考

在数字集成电路测试仪器的设计中设计的主要指标集中在三个方面:其一是测试管脚数,一般管脚的测量针数是小于16;其二测试品种的多样化,即可以测量多种数字集成电路,兼容性强;其三是测量的效率,一般测试中的时间要控制在1s/块以内。

2.2测试要求设计

同样,测试要求也要满足一定条件才能投入到实际应用当中,一般测试要求的设计主要集中在四个方面:其一,测试其一上的发光二极管的显示要正常,尤其是实测发光和对照发光具有可比性,才能发现测量电路的好坏;其二,既可以满足单个测量的要求,又可以满足连续测量的要求,并且每按一次按钮,能够在PC上显示测量的结果,结果必须直观明了;其三,测量的数字集成电路的功能性要求,即针对各种数字集成电路的不同功能块进行测量;最后,测试仪器的测量程序可以人工的修改、调动、擦除,以便在实际应用中进行调整,满足测量的情况,并且要保证测试程序在仪器中无运行障碍,测试的数据经过处理后能通过串口传输到PC机上,显示实际测量的效果图。

2.3芯片选择

芯片的参数资料是硬件设计的重要参考,常用的芯片有89C51、RS-232、164等,芯片中的参数主要考虑I/O线、总线标准、计时器、计数器、串行接口、数据寄存方式等,论文中用到的是第一种芯片89C51,其特点是性能好、功耗低,并且可以通过常规的编程器进行编程,实用性非常好。

2.4软件设计

软件的设计综合了多种技术和理论,如C语言、汇编语言、通信原理等方面的内容,本论文中讨论的主要是运用C语言和LCAW软件技术来进行编程,由于基于PC的数字集成电路测试仪器的原理图比较复杂,安装调试中需要非常细心谨慎,一般采用PROTEL软件进行绘图,再根据原理图设计所用到了元器件。

2.5硬件设计

软件设计给测量带来了巨大的方便,尤其是测量的种类、效率和准确率上来说都有很大的提高,但单纯的依靠软件并不能完成测试工作,必须依靠硬件的支撑,硬件设计中运用到的高频电路,低频电路、电子设计自动化和数字原理图等多方面的知识。

软硬件的相互结合是测试仪器工作的基础,其中软件的设计主要是各种工作程序的汇编与调试,寻求最佳的软件程序;硬件系统主要由单片机、I/O接口、存储器、运算器等组成,和计算机系统又非常大的相似性,只有软硬件的工作协调、相互共融,测试系统的工作才能准确、稳定、可靠的运行。硬件电路的设计的基础是在选择型号确定的情况下,对工作中的电路运行进行验证,选取工艺加工的方式、印制板和组装的流程,此外,某些硬件中的重要部件还要经过测量对比,以确定其对系统运行的影响情况,以便在设计中可以以其为调节的参考,进行修正工作,是测试硬件电路更加符合实际要求。其中硬件设计中需要注意几个方面的事项:其一,硬件设计的电路尽量要简化清晰,以便了解设计的意图和工作机理;其二,硬件设计不仅是要满足当前的工作环境,而且还要为以后的升级或改造留出余地,功能性的改造升级并不能完全依靠软件来达到,尤其是涉及到测试仪器的运算、测试功能扩展、接口的增加等需要硬件的支撑;其三,能用软件代替的尽量减少硬件的投入,不但可以减少成本,而且软件的修改、擦除更加容易,此外,软件相对于硬件而言,一旦固定,其运行的稳定性也更高;其四,硬件的设计工艺包含多項内容,如插接件、配线、面板、机箱等,然后是对部件的安装调试,以满足工作的需要;最后,硬件运行中的维修保障也必须到位,以减少硬件更换的频率,延长其使用寿命,另一方面也是减少成本支出的有效途径。

三、结束语

数字集成电路的测试具有一定的困难,在实际工作中不但测试的效率低,而且测试的专用型比较强,限制了测试功能的发挥,因而,进行数字集成电路测试仪硬件的设计分析和尝试,以满足多功能、快速、准确的测试要求,可以极大的提升工作效率,相关研究值得深入开展。

参考文献

[1]张友德,赵志英,涂时亮.单片微型机原理/应用与实验[M].上海:复旦大学出版社,1996.

[2]周仲.国内外常用集成电路互换手册[M].上海:上海科学技术文献出版社,2001.

[3]白中英.数字逻辑与数字系统[M].科学出版社,1999.

12.数字逻辑电路课程教学改革初探 篇十二

《数字逻辑电路》课程是自动化、通信与电子信息、计算机科学等相关专业本科生必修的一门重要专业基础课程, 是进一步学习《单片机原理及应用》、《微机原理与接口》等课程的基础。本课程主要学习数字逻辑基础、组合逻辑电路、触发器、时序逻辑电路等主要知识, 通过本课程的学习, 使学生牢固掌握常用数字逻辑电路和数字系统的分析和设计方法。

数字逻辑电路源于数理逻辑, 用于工程实际。因此, 本课程的教学目的应该是提高学生的数学逻辑思维和分析能力, 并具有相应的工程实践能力。这决定了课程的教学内容和教学方法。

二、改进措施

(一) 优化整合教学内容

数字逻辑电路课程包含内容很多, 而学时有限。因此在不影响课程内容的连续性和系统性的前提下, 必须优化设计教学内容。例如:数字逻辑基础部分作为后续章节的基础, 课堂上要作详细的讲解;集成逻辑门的内部电气特性这一章与模拟电子线路课程有重复之处, 因此可以适当加快, 以减少耗时;组合逻辑电路与时序逻辑电路是课程的核心内容, 这些部分都分配了较多的教学学时, 以保证课堂教学内容的完整、全面、细化。我们还在教学中融入一些实际的电路示例, 这样不仅可以提高学生的学习兴趣, 还可以加深学生的理解程度。存储器及可编程器件这一章, 由于有后续课程, 因此安排学时较少, 只是引述, 使学生做定性了解, 为后续课程的学习奠定基础。

通过这样的内容的优化整合, 我们在教学过程中, 既不失课程原有内容的完整、系统性, 又能够使学生找到学习的主攻方向, 从课堂反映情况来看, 收效明显。

(二) 改进教学方法

数字逻辑电路课程主要研究数字逻辑的基本概念与基本理论, 包括数字逻辑电路的基本分析和设计方法以及常用的数字逻辑功能器件。

鉴于以上特点, 我们在讲授数字逻辑电路这门课时不能照本宣科, 常需要用一些实际数字电路示例, 让学生们能够进行简单的设计。

1. 注意激发学生的学习兴趣

在整个教学的各个环节上, 我们要设法激发学生的学习兴趣, 从而调动起同学们对本课程的学习、动手做实验的积极性。

在数字逻辑电路的教学中, 我们力求从实际问题引入新课, 引起学生兴趣, 激发学生思考。例如:在讲组合逻辑设计时, 我们先讲一下实际生活中可能接触到的实际组合逻辑器件, 例如抢答器。这些对学生来说十分熟悉, 经常听到。带着这种好奇心和兴趣, 再讲具体电路的设计, 效果就非常好, 激发了学生的积极思维。

2. 采用多媒体教学手段, 提高课堂效率

现代教学活动中, 通过多媒体手段, 可以把教师从传统的黑板教学模式中解脱出来, 使课堂教学由静态灌输转变为图文并茂的动态传播, 增强感染力, 拓展知识面, 减少课堂板书时的时间浪费, 增加授课内容的连续性, 从而激发学生的学习热情, 提高课堂教学效率。

3. 注重习题课

很多同学在学习过程中, 虽然掌握了基本的知识点, 但是遇到具体问题, 无法应用学过的知识进行分析。针对这种情况, 在教学过程中某一阶段的学习完成后, 我们通常上一到两次习题课。习题课既是对这一阶段学习的小结, 同时通过一些典型题型的练习、讲解, 也能使学生更好地掌握解题的思路和方法, 能够举一反三。

4. 改革考核方式

考核体系的科学性, 对提高学生学习的积极性、养成正确的学习方法与正确的考试观, 都具有重要的意义。考试是评价学生学习效果的基础, 需要全面地进行考察。为了使学生能重视实践, 我们突出平时成绩和实践成绩, 另外还增加课堂小测验, 使成绩的组成多样化, 提高学生平时学习的积极性, 避免考前突击式学习。

(三) 加强实践教学

实验教学是理论教学环节的延伸, 通过实验教学, 可以培养学生的实践技能、动手能力和创新能力, 并且使学生在掌握基本理论、基本知识和基本方法以外, 培养起实验研究和工程设计能力。

在实验教学环节中, 我们除配备了专职的实验教师外, 由任课老师亲自指导学生做实验, 从而及时发现和弥补理论上未顾及到的内容, 克服了以往教学中理论与实验脱节的现象。

在实验内容的实施上, 为了加深学生理解, 我们把很多验证性的实验内容转化为设计性实验, 要求学生在课前根据实验要求做好预习, 写出预习报告, 利用所学的理论知识自行做实验, 老师在实验课开始时对重点需要强调的部分作适当的讲解, 并随机通过提问、检查等方式抽查学生的预习情况。在实验过程中, 老师在教室里巡回进行指导, 及时帮助学生解决连线故障及设备使用故障。为了杜绝学生抄袭实验数据的现象, 老师在检查学生的实验结果时, 会提出一些相关问题来核实其结果。

通过这些途径, 学生对待实验的态度发生了根本性改变, 从被动走入实验室转向了主动实验室, 积极求问, 将理论应用于实践, 起到了明显效果。

三、结语

通过教学实践, 我们对数字逻辑电路课程的结构体系及教学内容有了进一步的理解。教学内容的整合, 将会大大扩展课程内容;实践教学方面的加强, 将进一步锻炼学生的动手能力以及加深其对课程内容的掌握;教学方法的改进, 降低了学生的学习难度, 缓解了教学内容的膨胀与教学课时压缩之间的矛盾, 提高了教学效率和效果, 受到学生的普遍欢迎。

摘要:数字逻辑电路课程是信息类、电类等专业的一门专业基础课。本文结合教学实践与体会, 从教学内容、实践教学、教学方法等几个环节对数字逻辑电路课程的课堂教学改革提出了一些具体措施和建议。

关键词:数字逻辑电路,教学内容,教学方法,实践教学

参考文献

[1]蒋立平.数字电路[M].北京:兵器工业出版社, 2001.

[2]阎石.数字电子技术基础[M].北京:高等教育出版社, 2006.

13.数字电路课程设计--数字抢答器 篇十三

2004.11 1. 以下选项中不是EDA工具(括号内为开发公司)的是

A.Star-Hspice(Avanti)

B.Star-Craft(Blizzard)C.Silicon-Ensemble(Cadence)

D.Design-Compiler(Synopsys)

2. 请写出图1所示的两种组合逻辑电路实现的功能,请问哪一种电路更好,为什么?

图1 组合逻辑电路

3. 如图2所示的动态电路中,第一级的输出直接接第二级的栅,会有什么问题,请问改进的方法(改进后实现的功能不变)?

VDDCLKCLKOUTInVDDCLKCLK图2 动态组合逻辑

4. 说明CMOS电路的Latch Up效应,请画出示意图并简要说明其产生原因。

5. 图3所示为2输入选择器。该电路由完全互补的静态CMOS构成,电源电压为VDD=5V,图中的三个电容都为0.5pF,不考虑其他电容的影响。(1)设输入信号(S,A,B)相互独立,且它们为“1”的概率均为50%,求输出节点X,Y,Z发生0→1转换的概率(P0→1)。(2)如果输入信号的频率为50MHZ,求该电路的动态功耗。

图3 选择器

6.请画出图4所示版图对应的电路图 a. 试问NMOS与PMOS的尺寸,λ=0.6μm。

b. 画出电压转移曲线,标出VOH,VOL,VM,VIH,VIL的位置并计算其值。

14.数字时钟课程设计 篇十四

一、设计目的

数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。

因此,我们此次设计与制做数字钟就是为了了解数字钟的原理,从而学会制作数字钟.而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于数字钟包括组合逻辑电路和时叙电路.通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法.二、设计要求

(1)设计指标

① 时间以12小时为一个周期; ② 显示时、分、秒;

③ 具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; ④ 计时过程具有报时功能,当时间到达整点前10秒进行蜂鸣报时; ⑤ 为了保证计时的稳定及准确须由晶体振荡器提供表针时间基准信号。(2)设计要求

① 画出电路原理图(或仿真电路图); ② 元器件及参数选择; ③ 电路仿真与调试;

④ PCB文件生成与打印输出。

(3)制作要求

自行装配和调试,并能发现问题和解决问题。

(4)编写设计报告

写出设计与制作的全过程,附上有关资料和图纸,有心得体会。

三、原理框图

1.数字钟的构成

数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定。通常使用石英晶体振荡器电路构成数字钟。

0 / 12

(a)数字钟组成框图

2.晶体振荡器电路

晶体振荡器电路给数字钟提供一个频率稳定准确的32768Hz的方波信号,可保证数字钟的走时准确及稳定。不管是指针式的电子钟还是数字显示的电子钟都使用了晶体振荡器电路。一般输出为方波的数字式晶体振荡器电路通常有两类,一类是用TTL门电路构成;另一类是通过CMOS非门构成的电路,本次设计采用了后一种。如图(b)所示,由CMOS非门U1与晶体、电容和电阻构成晶体振荡器电路,U2实现整形功能,将振荡器输出的近似于正弦波的波形转换为较理想的方波。输出反馈电阻R1为非门提供偏置,使电路工作于放大区域,即非门的功能近似于一个高增益的反相放大器。电容C1、C2与晶体构成一个谐振型网络,完成对振荡频率的控制功能,同时提供了一个180度相移,从而和非门构成一个正反馈网络,实现了振荡器的功能。由于晶体具有较高的频率稳定性及准确性,从而保证了输出频率的稳定和准确。

(b)CMOS 晶体振荡器(仿真电路)/ 12 3.时间记数电路

一般采用10进制计数器如74HC290、74HC390等来实现时间计数单元的计数功能。本次设计中选择74HC390。由其内部逻辑框图可知,其为双2-5-10异步计数器,并每一计数器均有一个异步清零端(高电平有效)。

秒个位计数单元为10进制计数器,无需进制转换,只需将QA与CPB(下降沿有效)相连即可。CPA(下降没效)与1HZ秒输入信号相连,Q3可作为向上的进位信号与十位计数单元的CPA相连。

秒十位计数单元为6进制计数器,需要进制转换。将10进制计数器转换为6进制计数器的电路连接方法如图 2.4所示,其中Q2可作为向上的进位信号与分个位的计数单元的CPA相连。

十进制-六进制转换电路

分个位和分十位计数单元电路结构分别与秒个位和秒十位计数单元完全相同,只不过分个位计数单元的Q3作为向上的进位信号应与分十位计数单元的CPA相连,分十位计数单元的Q2作为向上的进位信号应与时个位计数单元的CPA相连。

时个位计数单元电路结构仍与秒或个位计数单元相同,但是要求,整个时计数单元应为12进制计数器,不是10的整数倍,因此需将个位和十位计数单元合并为一个整体才能进行12进制转换。利用1片74HC390实现12进制计数功能的电路如图(d)所示。

(d)十二进制电路

另外,图(d)所示电路中,尚余-2进制计数单元,正好可作为分频器2HZ输出信号转化为1HZ信号之用。

4.译码驱动及显示单元电路

选择CD4511作为显示译码电路;选择LED数码管作为显示单元电路。由CD4511把输进来的二进制信号翻译成十进制数字,再由数码管显示出来。这里的LED数码管是采用共阴的方法连接的。

计数器实现了对时间的累计并以8421BCD码的形式输送到CD4511芯片,再由451/ 12 芯片把BCD码转变为十进制数码送到数码管中显示出来。

5.校时电路

数字钟应具有分校正和时校正功能,因此,应截断分个位和时个位的直接计数通路,并采用正常计时信号与校正信号可以随时切换的电路接入其中。即为用COMS与或非门实现的时或分校时电路,In1端与低位的进位信号相连;In2端与校正信号相连,校正信号可直接取自分频器产生的1HZ或2HZ(不可太高或太低)信号;输出端则与分或时个位计时输入端相连。当开关打向下时,因为校正信号和0相与的输出为0,而开关的另一端接高电平,正常输入信号可以顺利通过与或门,故校时电路处于正常计时状态;当开关打向上时,情况正好与上述相反,这时校时电路处于校时状态。

实际使用时,因为电路开关存在抖动问题,所以一般会接一个RS触发器构成开关消抖动电路,所以整个较时电路就如图(f)。

(f)带有消抖电路的校正电路

6.整点报时电路

电路应在整点前10秒钟内开始整点报时,即当时间在59分50秒到59分59秒期间时,报时电路报时控制信号。

当时间在59分50秒到59分59秒期间时,分十位、分个位和秒十位均保持不变,分别为5、9和5,因此可将分计数器十位的QC和QA、个位的QD和QA及秒计数器十位的QC和QA相与,从而产生报时控制信号。

报时电路可选74HC30来构成。74HC30为8输入与非门。/ 12 说明:当时间在59分50秒到59分59秒期间时 分十位、分个 位和秒十位均保持不变,分别为5,9和5;因此,可以将分计数器十位的Qc和QA,个位的QD和QA及秒计数器十位的QC和QA相与,从而产生报时控制信号。IO1分计数器十位的Qc和QAIO2U11VCCIO35VVCCX182345V分计数器个位的QD和QAIO456114V_0.5WIO512秒计数器十位的QC和QAIO674HC30D数字钟设计-整点报时电路部分

四、元器件

1.四连面包板1块(编号A45)2.镊子1把 3.剪刀1把

4.共阴八段数码管6个 5.网络线2米/人 6.CD4511集成块6块 7.CD4060集成块1块 8.74HC390集成块3块 9.74HC51集成块1块 10.74HC00集成块4块 11.74HC30集成块1块 12.10MΩ电阻5个 13.500Ω电阻14个 14.30p电容2个

15.32.768k时钟晶体1个 16.蜂鸣器10个(每班)1)芯片连接图

1)74HC00D

2)CD4511 / 12

3)74HC390D

4)74HC51D

2.面包板的介绍

面包板一块总共由五部分组成,一竖四横,面包板本身就是一种免焊电板。面包板的样式是:

/ 12 面包板的注意事项:

1. 面包板旁一般附有香蕉插座,用来输入电压、信号及接地。2. 上图中连着的黑线表示插孔是相通的。

3. 拉线时,尽量将线紧贴面包板,把线成直角,避免交叉,也不要跨越元件。4. 面包板使用久后,有时插孔间连接铜线会发生脱落现象,此时要将此排插孔做记号。并不再使用。

五、各功能块电路图

数字钟从原理上讲是一种典型的数字电路,可以由许多中小规模集成电路组成,所以可以分成许多独立的电路。

(一)六进制电路

由74HC390、7400、数码管与4511组成,电路如图一。

U1A3123U2A12Com74HC00D74HC00DU5SEVEN_SEG_COM_KABCDEFGU3AV1 32Hz 5V141INA1INB21CLR31QA1QB1QC1QD5677126U413DADBDCDD5OAOBOCODOE1211109151474HC390D43~ELOF~BI~LTOGVCC5V4511BD将十进制计数器转换为六进制的连接方法

(二)十进制电路

由74HC390、7400、数码管与4511组成,电路如图二。/ 12 U4A3126U4B4574HC00D74HC00DComU3SEVEN_SEG_COM_KU1AV1 60Hz 5V141INA1INB21CLR31QA1QB1QC1QD5677126U213DADBDCDD5OAOBOCODOE12111091514ABCDEFGVCC5V74HC390D43~ELOF~BIOG~LT4511BD十进制接法测试仿真电路

(三)六十进制电路

由两个数码管、两4511、一个74HC390与一个7400芯片组成,电路如图三。

(四)双六十进制电路

由2个六十进制连接而成,把分个位的输入信号与秒十位的Qc相连,使其产生进位,电路图如图四。/ 12

ComComSEVEN_SEG_COM_KU1B6453U1A12U4SEVEN_SEG_COM_KU7U11BABCDEFG64513DADBDCDD5OAOBOCODOE~ELOF~BI~LTOG1211109151421CLR141INA1INB3U10A12ABCDEFG74HC00D74HC00DU3B15122INA2INB142CLR132QA2QB2QC2QD11109U2712674HC00D74HC00DU8A31QA1QB1QC1QD5677126U913DADBDCDD5OAOBOCODOE12111091514VCC5V74HC390D43U1C891011U1D12134511BD74HC390DComVCCU643~ELOF~BI~LTOG5VSEVEN_SEG_COM_K74HC00D74HC00DABCDEFG84511BDComU15C91011U16DSEVEN_SEG_COM_K1213U14U3A131INA1INB21CLR1QA1QB1QC1QD5677126U513DADBDCDD5OAOBOCODOE1211109151474HC00D74HC00DU12B15122INA2INB142CLR132QA2QB2QC2QD111097126U13DADBDCDD5OAOBOCODOEABCDEFG***14V1 100kHz 5V474HC390D43~ELOF~BI~LTOGVCC74HC390D5V43~ELOF~BI~LTOG4511BD4511BD

(五)时间计数电路

由1个十二进制电路、2个六十进制电路组成,因上面已有一个双六十电路,只要把它与十二进制电路相连即可,详细电路见图五。

ComComComComComComU1SEVEN_SEG_COM_KU2SEVEN_SEG_COM_KU4SEVEN_SEG_COM_KU3SEVEN_SEG_COM_KU5SEVEN_SEG_COM_KU6SEVEN_SEG_COM_KABCDEFGABCDEFGABCDEFGVCCVCCABCDEFGABCDEFGABCDEFG5V***45VVCCVCC***49***45V***3121110***01514145V9VCCOG995V99OAOBODOAOBODOAOBODOEOEOCOCOCOFOFOEOGOAOBODOAOBODOAOBODOEOEOCOCOCOFOFOEOGOG~LT~LT~EL~EL~BI~BI~ELDADCDDDADCDDDADC~LT~LT~LTDBDB~EL~EL~EL~BI~BIDADCDDDADCDDDADCDBDB3DBDD~BI5V73DBDD4511BD54511BD******12643U23CU25A74HC00D***8U21A74HC00D13111038U20C74HC00D3U19A74HC00D131110974HC00D9356356772QB1QD2QD2QD1QB1QC2QB2QC2QB2QC1QB1QA2QA2QA1QA1QC1QD2QA2QC2QD61QB2INA1CLR2CLR2CLR1INA1INB2INA2INB2INA2INB1INA1INA1INB74HC00D161CLR74HC390D6151INB74HC00D111CLRU26B74HC390D74HC390N1174HC390N74HC390DU20B1574HC00D1262INB74HC00D74HC00D***242V1 1000Hz 5V时,分,秒计时电路图

(六)校正电路

由74CH51D、74HC00D与电阻组成,校正电路有分校正和时校正两部分,电路如图六。/ 12

142CLRU13AU16B1QA1QC1QDU24DU22BU14AU17BU20DU15AU18B74HC390N43~BI~LT4511BDOGU7U8OFU10VCC4511BDOGU9U114511BDOFU124511BD1010921921254***254IO1VCC正常输入信号5V校正信号IO2R1U2C9108小时校正电路J110Mohm74HC00D注意:分校时时,不会进位到小时。U11111213910U2DKey = A12R210MohmIO313U2A8123时计数器IO574HC00D1123674HC00D正常输入信号校正信号IO4R3U3A10Mohm12U2B456分计数器IO64574HC00D74HC51D3J274HC00DKey = B分钟校正电路分校正时锁定小时信号输入R410MohmU3B456图中采用基本RS触发器构成开关消抖动电路,其中与非门选用74HC00;对J1和J2,因为校正信号与0相与为0,而开关的另一端接高电平,正常输入信号可以顺利通过与或门,故校时电路处于正常计时状态,当开关打向上时,情况正好与上述相反,这时电路处于校时状态。74HC00D数字钟设计-校时电路部分

(七)晶体振荡电路

由晶体与2个30pF电容、1个4060、一个10兆的电阻组成,芯片3脚输出2Hz的方波信号,电路如图七。

(八)整点报时电路

由74HC30D和蜂鸣器组成,当时间在59:50到59:59时,蜂鸣报时,电路如图八。/ 12 说明:当时间在59分50秒到59分59秒期间时 分十位、分个 位和秒十位均保持不变,分别为5,9和5;因此,可以将分计数器十位的Qc和QA,个位的QD和QA及秒计数器十位的QC和QA相与,从而产生报时控制信号。IO1分计数器十位的Qc和QAIO2U11VCCIO35VVCCX182345V分计数器个位的QD和QAIO456114V_0.5WIO512秒计数器十位的QC和QAIO674HC30D数字钟设计-整点报时电路部分

六、总接线元件布局简图

整个数字钟由时间计数电路、晶体振荡电路、校正电路、整点报时电路组成。

其中以校正电路代替时间计数电路中的时、分、秒之间的进位,当校时电路处于正常输入信号时,时间计数电路正常计时,但当分校正时,其不会产生向时进位,而分与时的校位是分开的,而校正电路也是一个独立的电路。

电路的信号输入由晶振电路产生,并输入各电路。简图如图九。

七、芯片连接总图

因仿真与实际元件上的差异,所以在原有的简图的基础上,又按实际布局画了这张按实际芯片布局的接线图,如图十。

八、总结

1. 实验过程中遇到的问题及解决方法

① 面包板测试

测试面包板各触点是否接通。

② 七段显示器与七段译码器的测量 / 12 把显示器与CD4511相连,第一次接时,数码管完全没有显示数字,检查后发现是数码管未接地而造成的,接地后发现还是无法正确显示数字,用万用表检测后,发现是因芯片引脚有些接触不良而造成的,所以确认芯片是否接触良好是非常重要的一件事。

③ 时间计数电路的连接与测试

六进制、十进制都没有什么大的问题,只是芯片引脚的老问题,只要重新插过芯片就可以解决了。但在六十进制时,按图接线后发现,显示器上的数字总是100进制的,而不是六十进制,检测后发现无论是线路的连通还是芯片的接触都没有问题。最后,在重对连线时发现是线路接错引脚造成的,改过之后,显示就正常了。

④ 校正电路

因上面程因引脚接错而造成错误,所以校正电路是完全按照仿真图所连的,在测试时,开始进行时校时时,没有出现问题,但当进行到分校时时,发现计数电路的秒电路开始乱跳出错。因此,电路一定是有地方出错了,在反复对照后,发现是因为在接入校正电路时忘了把秒十位和分个位之间的连线拿掉而造成的,因此,在接线时一定要注意把不要的多余的线拿掉。

2. 设计体会

通过这次对数字钟的设计与制作,让我了解了设计电路的程序,也让我了解了关于数字钟的原理与设计理念,要设计一个电路总要先用仿真仿真成功之后才实际接线的。但是最后的成品却不一定与仿真时完全一样,因为,再实际接线中有着各种各样的条件制约着。而且,在仿真中无法成功的电路接法,在实际中因为芯片本身的特性而能够成功。所以,在设计时应考虑两者的差异,从中找出最适合的设计方法。通过这次学习,让我对各种电路都有了大概的了解,所以说,坐而言不如立而行,对于这些电路还是应该自己动手实际操作才会有深刻理解。

3. 对设计的建议

15.数字电路课程教学方法的多样性 篇十五

一、教材的选用

对于不同基础的学术, 教材的选用时至关重要的!笔者第一次讲授《数字电路》这门课程的时候, 是在一所相对比较好一些的二本学校, 当时我采用的是清华大学阎石先生编著的教材。一个学期教学下来, 教、学双方都达到了满意效果!

然而在此后不久, 同样是担任《数字电路》这门课程的教学工作, 也是采用了同样的教材和PPT来进行教学, 在一所从专科刚刚转成本科的学校任教。客观的说, 这次教学我肯定是比第一次讲得好, 但却有同学感到没有听懂, 有的同学提出了教材比较难、内容多等看法。

针对同学的意见, 我先后作出了以下的调整:

A、删减比较难的、不是很重要的教学内容;

B、对于难懂, 而有比较抽象的内容, 采用先讲一遍, 在下次的课程一开始的时候采用提问的方式, 进行复习, 达到消化、巩固的目的;

C、采用张弛有度的教学方式, 对难懂的内容, 放慢进度;对于相对比较容易的内容, 加快进度;

D、建议同学去看一些参考书, 毕竟是大学生, 要培养他们的自学能力。

二、通过对比教学法, 提高学生学习兴趣

教师、学生、学习兴趣、教学计划, 是教学的四大要点因素。其中, 学生的学习兴趣是可以通过教师和学生之间的互动调动起来的。注重以教学内容形象化、趣味化、易懂、生动等内容来吸引同学们的注意力, 可以充分调动全体同学的学习兴致, 让成绩好的同学积极思考, 成绩较差的不甘落后。

例如, 在讲授几种常用的数制代码这节内容的时候, 将这几种代码的编码方式编成表格的形式, 以便进行对比教学, 找出异同之处, 找出规律, 起到举一反三的效果。

三、采用动画教学方式, 调动同学的听课兴致

动画多媒体技术是现代教学中应用中比较普遍常用的手段。能够在较短的时间里面获取更多的信息。一个关键特点是“好看”, 教师可以发挥动画多媒体信息集成控制的特性, 达到引人入胜的目的。动画教学要根据教学实际情况, 并适时更新、随时增补学术前沿的内容。在教学中使得学生能够对未来的职业发展充满信心, 同时促进教学和学习效益的提高。

四、采用Multisim软件进行仿真教学

在数字电路课程中有不少重点、难点和抽象的问题, 采用传统的循序渐进的教学方式, 进行单一的理论教学模式, 教学效果有时不容乐观。而采用Multisim软件进行实时仿真实验, 把理论知识和实验结果加以对照、分析, 可以让学生看得见、听得着, 能够起到立竿见影的效果!

现在, 许多大专院校 (尤其是重点院校) 已经Multisim软件编入正式的教学内容。该软件具有易学易用的明显特征, 且操作方便、库元件丰富;另外, 该软件的电路分析功能比较强大, 能胜任模电、数电、高频、电力电子等各领域的仿真设计。

Multisim软件提供了18种仪表, 甚至还包括网络分析仪等。为了便于理解和掌握, 提高课堂教学效果, 笔者通过一个实例探讨Multisim软件在数字电路课程教学中的应用, 二个具体步骤如下:

(1) 、对于一个给定的如图所示的简单电路, 从仪表工具栏中的Oscilloscope中, 移动鼠标, 拖出一个示波器的图标到合适的位置。需要说明的是:该示波器图标上有4个端子, 底部水平位置分别是A、B通道信号输入端, 右侧垂直方向由上往下分别是接地端和外触发信号输入端;

(2) 、单击“仿真”按钮, 即可以观察生成的波形。

二个步骤的图形分别如图1、图2。

五、MATLAB/Simulink在数字电路教学中的介绍、简单应用

Simulink作为MATLAB的一个分支, 在建模方面具有特别方便的优势, 在做出实际系统之前, 预先进行修正优化系统等功能, 可以实现高效开发系统的目的。能够在电脑上清晰地了解各种器件、各子系统等之间的信息交换, 使得该虚拟设备显得非常直观, 教学时可以简单介绍下面的内容:

A、MATLAB/Simulink主要的模块:运算模块 (Math) 、电源系统模块 (Power System Blockset) 、附加库 (Simulink Extres) 模块等系统模块;

B、主要模块Maths库Operations子库里面的Logical Operator子模块:这个子模块在数字电路实验过程中需要经常应用, 打开该模块后, 将出现数字电路中的六种基本逻辑关系:

C、Simulink Library库中Simulink Extras子库, 里面包含四种最常用的触发器:

D、还可以介绍信号发生器、时钟、显示器等模块:

六、开发学生的潜能

人的领悟能力在一定程度上是存在一些差别, 但几乎所以人的潜能都是无限的。作为教师的另外一个职责, 就是要把让学生如何在课程学习中发挥最大学习潜能, 其中一个行之有效的方法就是采用激励手段。在激励的过程中, 要注意方式方法的运用, 要适时、适度、循序渐进地去激励学生, 达到不断增强他们的自信心, 并能够增强师生之间的相互理解。对于他们每一个小的进步, 我都适时地给予肯定, 并及时号召别的同学向他们学习。

七、结论与建议:整合并优化教学内容

现在的社会发展, 相当多学生在学习过程中希望实用和兴趣相结合的。所以, 我们必须要克服以往理论性过重的倾向。如果还是强调课程的系统性, 墨守成规, 是没有好的教学效果。况且, 可编程逻辑器件作为数字设计的主流器件, 已大量应用于数字电路和数字系统。因此, 必须适当拓展课程内容, 授课内容必须紧跟时代前进的步伐。一些新的设计软件、新技术、新方法, 都应在课程中有所介绍, 以开拓学生的眼界, 便于在教学中应尽可能地体现数字电路和其它课程的相关联的地方, 激发同学们的学习兴趣, 为后续课程打下引导基础。

摘要:本文针对数字电路课程教学发表了自己的见解, 提出了对于不同基础的学生采用不同教材, 并根据实际情况进行适当删减教学内容。为了提高学生的学习兴趣, 可以适当选用动画教学、Multisim软件演示教学、MATLAB/Simulink工具箱的简单应用等教学内容;最后, 还提出要注意开发学生的学习潜能。

关键词:教材,Multisim软件,MATLAB/Simulink工具箱,学习潜能

参考文献

[1]阎石, 数字电子技术基础 (第五版) [M], 高等教育出版社, 2004.

[2]李东生, EDA仿真与虚拟仪器技术[M], 北京:高等教育出版社, 2004.12.

[3]潘晓明, 基于MATLAB数字电路建模与仿真[J], 柳州师专学报, 2007.12.

[4]黄忠霖, 黄京.电力电子技术的MATLAB实践[M], 国防工业出版社, 2009.

上一篇:淘气的乌龟作文300字下一篇:写入党申请书注意事项