eda实验总结

2024-09-20

eda实验总结(7篇)

1.eda实验总结 篇一

EDA

实验报告

姓名:汤灿亮 学号:2012118060 班级:1211自动化

实验一 QUARTUS Ⅱ的设计流程

一、实验目的:

1、掌握QUARTUSⅡ安装过程;

2、熟悉QUARTUSⅡ设计环境;

3、掌握QUARTUSⅡ的设计过程。

二、实验内容:

用文本输入法设计一个二进制加法器。

三、实验步骤:

(一)、创建工作文件夹

在windows中新建一个文件夹(又称工作库或WORK LIBRARY),用于保存设计工程项目的有关文件。注:设计工程项目的所有有关文件不能保存在根目录下,必须保存在一个文件夹之下。例如建立的文件夹:E:CNT10

(二)、启动Quartus II 点击QUARTUSⅡ9.0图标打开QUARTUSⅡ9.0设计窗口。或点击QUARTUSⅡ9.0图标打开QUARTUSⅡ9.0设计窗口

(三)、设计文件输入

1、打开输入文件编辑器

点击菜单Filenew„选择Verilog HDL file建立一个文本设计文件。用文本输入法输入程序。

2、保存文件,文件名同程序的模块名。后缀.v

(四)、全编译(逻辑综合)

1、创建工程

点击菜单FileNew Project Wizard…….进行工程设置。完成工程文件夹的选定、工程名、顶层设计文件名(主程序)、编程器件的选择等工程设置。

2、编译前的相关设置设置

⑴选择PLD芯片:AssignmenmtsSettingsDevice弹出的窗口中选择选择芯片。

⑵选择配置芯片的工作方式AssignmenmtsSettingsDeviceDevice&Pin Options弹出的窗口中首选General项,在Options栏中选择Auto-restart-configuration after error.⑶选择配置芯片和编程方式:AssignmenmtsSettingsDeviceDevice&Pin Options弹出的窗口中选择Configuration栏,在窗口中设置配置方式,配置芯片和是否需要生成压缩的配置文件。

⑷选择输出设置:(1)-(4)项默认方式,可以不做任何操作,⑸选择目标器件闲置引脚的状态:AssignmenmtsSettingsDeviceDevice&Pin Options弹出的窗口中选择Unused Pins栏,在窗口中对闲置的引脚设置,推荐设置为As input tri-stated。

3、执行全程编译:ProcessingStart Compilation。完成对设计项目的检 错、逻辑综合、结构综合、配置文件生成以及时序分析。

(五)、功能仿真(或时序仿真)

建议先做功能仿真,以检验设计项目的逻辑真确性,这样可以提高设计效率。

1、功能仿真设置:AssignmenmtsSettings弹出的窗口中选择Simulator Settings。在右边Simulation mode中选择 Functional.2、ProcessingGenerate Functional Simulation netlist,生成功能仿真所需的文件。

3、建立波形文件并进行功能仿真

⑴FileNew,在窗口中选择Vector Waveform file打开向量波形文件编辑器。

⑵设置仿真时间区域:可默认。一般几十微妙。时间区域过长,使仿真时间变长,影响仿真效率。

⑶在向量波形文件编辑器中添加项目的相关引脚。原则上是所有引脚,但有的项目引脚很多,可以只添加必要的一些引脚。双击向量波形文件编辑器Name栏的空白区域后,会弹出一个“Insert Node or Bus”对话框,在弹出的对话框中选择“Node Finder„”按钮,则弹出“Node Finder„”对话框,选择Filter:Pins:all,然后点击List,Nodes Found栏将列出所有输入、输出端口。选择要观察的信号,点击“>”命令按钮加入到观察目标窗口中。选择OK,则在波形图中加入了待观察信号的图形。

或者执行ViewUtility WindowsNode Finder命令打开Node Finder窗口,在弹出的窗口中将所需引脚拖入波形编辑器中。

⑷编辑输入波形:对所有的输入引脚设置合适的波形。⑸启动仿真器:ProcessingStart Simulation.⑹观察分析仿真结果。仿真结果保存于文件“Simulation Report”,此文件在仿真完成后会自动弹出。若仿真结果有出入,重新修改程序,直到仿真结果没有问题。

(六)、下载验证:

1、芯片选择ACEX1KEP1K30QC208-2;

2、引脚锁定:

3、全编译;

4、下载线连接:将25针连下一端连接电脑LPT1口,一端连接到编程模块的DB25接口,再用十针连线一头插入通用编程模块JTGA下载接口处,另一头连接到目标芯片的下载接口。

5、打开实验箱电源,将模式选择开关CTRL的(2)(4)(8)拨至ON,使按键KD1,KD2,LED1,LED2,LED3,LED4,LED5等有效。

6、下载:ToolsProgrammer,完成下载。

7、拨动开关按键KD1,KD2验证电路。

四、实验程序及仿真结果

(一)、实验程序:

时序仿真结果:

波形文件及仿真:

五、实验箱现象描述

注:在程序正确,正确操作实验箱并成功下载并正常运行程序的前提下,现象为:实验箱上一排设定的LED灯,分别为4个表示四位二进制码,一个表示使能信号EN,一个表示复位信号RST,一个表示置数信号,一个进位位COUT,高电平时表示进位,四个用于置数的灯。EN信号高电平有效,低电平起保持作用,RST低电平有效,起复位作用,LOAD信号低电平有效,起置数作用。启动实验箱,让EN灯亮(高电平),RST灯亮(高电平),LOAD灯亮(高电平),此时表示四位二进制码的LED灯分别从0到9计数(约为1S记一个数),到10的时候,显示数的四个LED灯表示成0(全灭),进位位灯(COUT)闪动一次(表示进一位),如此反复。使EN灯熄灭(低电平),显示数的灯停止变动,保持在它当前所表示的数值。恢复EN灯亮,继续计数。使RST灯熄灭(低电平),显示数的灯立即变为全灭(表示复位为0)。设置任意值,使LOAD灯熄灭(低电平),显示灯变成设置的数值,然后正常计数。

六、心得体会

在这次实验中,QUARTUS II软件是英文版的,一下基本功能在第一次中还是不够熟悉,通过问老师同学,慢慢的了解到QUARTUS Ⅱ软件的基本使用方法,以及从编写程序到下载到实验箱验证运行的基本流程,实验二用原理图输入法设计2位频率计

一、实验目的:

1.熟悉和掌握用QUARTUS Ⅱ的原理图输入方法设计简单数字系统的方法,并通过一个2位频率计的设计掌握用EDA软件进行数字系统设计的详细流程。2.掌握用EDA技术的层次化设计方法; 3.掌握多个数码管动态显示的原理与方法

二、实验内容

用原理图输入法设计一个2位频率计

三、实验步骤

1.在顶层文件设计窗口中设计频率计,频率计的设计分成几部分设计,分别是一个2位十进制计数器,一个时序控制电路,一个显示电路模块。

2.先设计2位十进制计数器,如图显示为设计好的2位十进制计数器。

步骤:(1)、点击file—new,弹出如图所示窗口,点击design File中Block diagram/schematic file,再点击ok即可。(2)、在弹出的bdf文件设计窗口中设计所需的设计,设计完成后,点击编译按钮,编译无误后,再进行时序仿真。

结果如图:

(3)、即可点击file—created/update—create symbol files for current file.生成元件符号,供高层次设计调用。注意:需要独立建立工程,2位十进制计数器的工程名和bdf文件名都为counter8。

3、设计时序控制电路,设计步骤与设计2位类似,设计完成后,一样需要设计文件符号供高层次设计调用,如图为设计好的时序控制电路。

4.在顶层设计窗口中设计顶层设计,最终的设计如图

进行时序仿真无误后进行波形仿真,结果如图:

可以从波形仿真中看出,当输入的待测信号的周期为410ns的时候,所测的的频率的最后两位为39。

四、试验箱验证及现象描述

引脚正确设定并正确下载到试验箱后,调节待测信号频率,当输入为4hz时,数码管上显示04,当输入为8hz,数码管上显示08,当输入为16HZ时,数码管

上显示为16,当输入为128hz时,数码管上显示为28。

五、心得体会

这次实验中,按照书上面的接线图,完成基本的接线,然后在电脑上面设计原理图,进行实验的测试,掌握用EDA技术的层次化设计方法,在实验中也出现过点失误,软件运行出错,经过检查,发现软件没有破解,在实验中还是要注意小细节。

实验三简易正弦波信号发生器设计

一、实验目的:

1、进一步熟悉QuartusII设计流程;

2、熟悉LMP_ROM与FPGA硬件资源的使用方法。3、熟悉SignalTap II嵌入式逻辑分析仪的使用方法。

二、实验内容

用原理图设计一个简易的正弦波信号发生器。

三、实验步骤

1.建立一个工程,取名为SIN_GNT。

2.生成.mif文件,用直接编辑法。点击file—new—memory file—memory initialization file,点击OK,选number为128位,word size为8位,点击ok,填写 表格,结果如图

3.以原理图方式对LPM_ROM进行设置和调用,在工程原理图编辑窗中双击,出现symbol框图中点击megawizard plug-in manager,在所示窗口中点击memory compiler的ROM:1-PORT,取文件名为ROM78,正弦波数据初始化文件选择DATA7X8.mif,即可生成正弦信号数据存储器ROM,如图所示

4.用原理图方式对7为计数器LPM模块,方法与制作ROM78模块类似,如图所示

5.新建一个原理图设计窗口,取名为SIN_GNT,在窗口里面设计所需的电路,结果如图,进行时序仿真,无误后建立波形文件,结果如图

由图可知,在时间脉冲的作用下,AR计数,相对于的,Q也从正弦信号数据存储器ROM中输出相对应的数值,由这两项,这可以在示波器上输出正弦波。

四、心得体会

在实验中,LPM 是参数可设置模块库Library of Parameterized Modules 的英语缩写,Altera 提供的可参数化宏功能模块和LPM 函数均基于Altera 器件的结构做了优化设计。在许多实用情况中,必须使用宏功能模块才可以使用一些Altera 特定器件的硬件功能。例如各类片上存储器、DSP 模块、LVDS 驱动器、嵌入式PLL 以及SERDES 和DDIO 电路模块等等。这些可以以图形或硬件描述语言模块形式方便调用的宏功能块,使得基于EDA 技术的电子设计的效率和可靠性有了很大的提高LPM可实现基于LPM的流水线的累加器的设计,逻辑数据采样电路设计,简易正弦信号发生器的设计

实验四用状态机实现序列检测器的设计

一、实验目的

1、熟悉状态机的作用及设计方法;

2、学习用状态机实现序列检测器的设计,并对其进行仿真和硬件测试。

二、实验原理

序列检测器可用于检测一组或多组由二进制码组成的脉冲序列信号,当序列检测器连续收到一组串行二进制码后,如果与检测器预先设置的码相同,则输出为1,否则输出为0。

三、实验内容

设计一个序列检测器,对1110010进行检测,对设计进行仿真测试并给出仿 真波形。

四、实验步骤

(1)运行软件,创建一个工程,取名为SHCK,打开文本文件编辑窗口,输入编写好的程序,如图所示。

取名为shiyan4,保存生成shiyan4.v文件。

(2)编译,时序仿真,直至无错误。

(3)建立波形文件,保存,取名为SHCK。设置各个需要的设置的参数,仿真时间设置为50us,时钟信号周期为4us,复位信号高电平有效,一般情况保持低电平,设置输入信号DIN含有输入数据段如图1110010,如图所示

(4)点击波形仿真,结果如图

由仿真结果可以看出,只有当输入完整的1110010时,输出信号才是高电平。(5)点击tools—netlist viewers—state machine viewers,查看状态转换表。

四、心得体会

通过本次实验掌握了如何用Verilog HDL语言实现状态机的原理,运用状态机实现序列检测器的设计,进一步掌握了课堂上所学到的知识,但同时充分的感觉到了自己的不足之处,今后一定要加强自己弱势方面的学习,用心学好EDA教科书上的知识,并抽时间在课外进行深入地学习,相信下次试验情况会有很大程度的改观

2.eda实验总结 篇二

EDA技术已成为现代数字系统设计的主要手段, EDA技术使得设计者的主要工作是利用软件的方式完成对系统硬件功能的实现。使用计算机在EDA工具软件平台上完成系统设计, 自动完成逻辑编译、化简、分割、综合、布局布线以及逻辑优化和仿真测试, 直至实现电子线路系统硬件功能[1]。设计行业和社会对熟练掌握EDA开发的高素质大学毕业生需求量逐渐增加。对技术的需求也反映到教学和科研领域中, EDA技术相关课程是高校相关专业必不可少的课程。很多院校EDA技术课程教学过程选择基于现场可编程逻辑门阵列FPGA平台的设计开发, 源于FPGA器件应用广泛, 实验开设成本低, 可重复编程, 以及其设计方法在EDA设计中具有典型性和通用性。本文对EDA课程实践教学实施进行分析探讨。

2 EDA课程实验课建设

EDA课程是一门实践性强的课程, 实践环节必备综合性实验和相关硬件实验环节。学生打好基础后, 注重实践能力和创新能力的培养。我校EDA课程开设了通信工程专业的FPGA原理与应用, 电子信息工程专业的电子设计自动化和生物医学专业的可编程逻辑门阵列。生产可编程逻辑器件的厂家很多, 代表性的有Altera、Xilinx、Lattice等公司, 其中以Altera、Xilinx为主, 他们的FP-GA/CPLD产品最有代表性。我校以Altera公司的FPGA和相应的开发系统为基础, 建立了FPGA与嵌入式系统实验室。实验室配置了EDA/SOPC实验箱, 它是集EDA和SOPC开发为一体的综合性实验箱, 它不仅可以独立完成几乎所有的EDA设计, 也可以完成大多数的SOPC开发。实验室建设保证了教学工作的顺利进行, 为EDA人才培养创造了有利条件。

2.1 合理的实验内容。

根据教学内容, 实验项目分层次开设[2], 安排针对性较强的实验项目。按强化重点可分为基础实验、综合实验、创新实验。

2.1.1 基础实验。

掌握利用软件进行EDA开发的基本流程, 与数字电路课程相结合, 通过简单数字逻辑电路和时序电路实例的原理图设计、HDL设计, 让学生从一开始就将理论知识与具体电子电路设计结合起来。

2.1.2 综合实验。

设置较复杂的数字电路系统的设计实验, 让学生学会原理图与硬件描述语言相结合的混合输入设计方法, 引入模块化设计、分层设计, 让学生理解自顶向下的设计思想和自底向上的实现思想的概念。针对对本课程有兴趣的同学, 结合专业特点设置不同领域的设计项目, 使学生更加熟练掌握基本设计方法。开发流程基本要点如下[3]:a.选择一种硬件描述语言;b.选择EDA综合工具;c.熟悉所用FPGA器件的性能;d.创建一个概要的系统设计;e.遵循所推荐的编码指导原则;f.分割设计模块, 并逐一实现;g.确定每个设计模块的指标, 即速度、功耗和面积;h.逐个编译设计模块, 进行面积和性能的估计;i.对每个模块进行仿真;j.完成整个设计的仿真。

2.1.3 创新实验。

实验要有一定难度, 主要面向实际应用, 能启发学生自主创新意识, 鼓励学生设计和组装一些电子产品, 进而可以参加一些电子设计大赛。

这样的层次化安排, 由逻辑行为的实现-控制与信号传输功能的实现-SOPC开发的层次[4], 有助于初学者入门, 及后续的深入学习。

2.2 多样化的教学模式

2.2.1 引导式实验教学。

由浅入深的引导式教学过程, 首先让学生了解当前电子设计行业主流企业的软硬件产品及芯片系列, 学会行业账号注册方法, 软件的官方资料的获取方法, 学会获取在线培训课程。实验课从集成环境中基于原理图的设计演示开始, 让学生熟悉EDA开发流程, 进而过渡到HDL语言设计简单的数字电路, 从实例的介绍中学习体会该语言的语法含义, 理解硬件软件化的设计思想。学生具备基础知识后, 进一步深入运用一些HDL语言的高级语法, 引导学生在基础实验上进行一些改进和发挥。最后讲解复杂数字电路系统设计的方法, 注重混合输入设计方式和模块化设计方法的引导, 提高设计的通用性。模块化设计对于复杂的数字电路系统, 可以根据功能要求划分成子模块实现, 针对每一个模块选择合适的设计输入方式, 原理图的设计输入方式比较直观, 推荐顶层设计, 开发系统通常提供丰富的设计资源可直接调用, 硬件语言适合描述复杂的逻辑, 移植性好, 通常一个大的数字电路系统采用混合式输入。然后讲解设计步骤, 给学生演示每个功能模块仿真结果, 最后配置实验箱演示实物。至此学生基本熟悉一类主流EDA器件, 一种硬件描述语言, 一种软件实验平台、一类硬件实验平台。

2.2.2 自主式教学。

在学生掌握基本设计流程和设计方法后, 教师给出不同综合性设计项目, 学生根据自己的熟练程度, 选择不同的方法来完成。在完成自己的项目后, 可安排做不同项目的同学间进行分组讨论和交流, 让每个学生用极少的时间分享到不同设计项目的精华, 鼓励学生动手设计, 增强自己的FPGA综合设计能力。然后在给出一些提示的情况下让学生提出自主创新性设计的项目。

2.2.3 开放式教学。

由于每门课程的实验计划内学时的限制, 以及课堂教学的局限性, 我校在传统实验室的基础上搭建了开放式本科生创新实验基地, 采用开放式实验教学, 学生可申请进入创新实验基地, 在课外时间强化学习, 另外借鉴其他高校的教改措施, 实验室可配备一些FPGA实验开发板, 供学生借用, 集成的实验箱是课堂实验必不可少的设备, 相对于实验室购置的集成实验箱, 小巧的开发板, 携带方便, 更有利于后期提高学生动手能力, 投资少, 在不浪费原有实验设备的同时达到了激发学生自主创新设计的兴趣。

3 EDA课程实践环节指导思想

首先, 电子设计自动化技术发展非常快, 新技术、新工具层出不穷, 在教学上及时更新教学内容。

第二, 将科研成果实例引入实践教学, 提高课程的工程性。

第三, 高校支持各类竞赛, 开阔学生的视野, 丰富学生和教师的经验。

第四, 提高教师队伍水平, 对教师定期进行技术培训, 及时了解行业新动态、新技术。

参考文献

[1]潘松, 黄继业.EDA技术与VHDL (第四版) [M].北京:清华大学出版社, 2013.

[2]张惠国, 潘启勇.EDA课程层入式教学及实验平台建设[J].常熟理工学院学报, 2011 (12) .

[3]Philip Simpso著, 何春译.FPGA设计:基于团队的最佳实践[M].北京:机械工业出版社, 2014.

3.eda实验总结 篇三

关键词 实验 教学体系 EDA

一、EDA平台建设的指导思想

在电子技术实验体系中的EDA平台建设和实践中,我们始终本着以电子技术应用能力和创新意识培养为核心,在实验课程体系、教学内容和教学方法的改革中,贯彻以培养学员知识、能力、素质上协调发展为宗旨,围绕军队院校学员的总体培养目标,紧跟本学科发展前沿,边建设、边应用、边实践,不断深化,日益完善和发展,并取得较好的成绩。

二、EDA平台的框架和教学体系

EDA教学平台包括各种开发软件、硬件、以及用于教学辅导的网站和进行创新实验的实验室。我们配备了EDA仿真分析软件Electronic WorkBench和它的升级版本Multisim;用于CPLD/FPGA芯片设计、下载的工具软件MaxplusII;用于电路板设计的软件Protel99以及用于系统测控的虚拟仪器软件Labview。在硬件方面我们配置了模拟、数字实验箱、可编程逻辑器件实验箱、PLC实验设备等,嵌入式开发系统和DSP/SOC开发系统已经列入计划。此外EDA网站提供了教学辅导功能,创新技术实验室提供了用于创新小组进行活动的设备和场地。目前软件与硬件建设初具规模。

EDA教学平台幅射到理论课、实验课及课程设计等教学活动之中。教学网站“EDA工作室”为学生提供了自主学习辅导手段,经过课程设计后选拔出优秀学员进入到创新实验室进一步培养,以起到以点带面的作用以及为参加各类电子设计竞赛作准备。

EDA仿真实验课程设置:在本科大学二年级的专业基础课中,设置4-8学时的EDA仿真实验课程,以加强学员理论和工程的结合,激发学习的兴趣和主动性。在三年级的电子实验课程中,融合了EDA仿真实验内容,采用了“以虚代实,以软代硬,软硬相兼”实验方法。由于用计算机技术和仿真技术实现电路的仿真,精简课堂教学内容,大大提高实验效率。三年级的面向工程开发的课程设计中,利用EDA开发平台,学习最新可编程逻辑和模拟芯片的下载,培养学员的工程设计能力。此外选拔课程学习中的优秀学员,成立电子兴趣小组,进入创新技术实验室,进一步培训和学习。

三、EDA平台建设的创新点

(一)形成了“虚实结合、软硬相兼”的EDA实验教学体系,在教学中实现了理论教学和实验教学交叉渗透,传统实验和EDA实验互相促进,实物实验和虚拟仿真实验有机结合的新的教学模式。

(二)建立了由软件仿真系统、硬件开发平台、创新技术实验室和教学网站支撑的、配套教学科研的EDA平台。该平台的建设紧密跟踪和同步最新的科技发展,不断注入新的内容和技术,其建设水平属国内先进水平。

(三)EDA平台的建设突出了面向工程的原则,为培养符合现代技术要求的高素质的人才创造了条件,走出了教育改革的新路。

(四)建立了能幅射到理论课、实验课及课程设计EDA教学网站:“EDA工作室”,它可扩展课堂空间,实现网上教学,并且除了及时发表一些最新EDA动态及基础课程课件,还可以进行学生和老师的在线答疑,学生还可以及时发表自已的学习心得体会及最新研究成果,为优秀人材脱颖而出创造了一个施展才能平台。

(五)创建了创新实验室:实验室突出了现代电子技术的“自顶向下”的设计思想,使学生能直接使用现代电子系统分析和设计的工具,学习现代电子系统的实验技术。也吸引、鼓励广大学生踊跃参加课外活动,为优秀人材脱颖而出创造了一个施展才能的平台。开创我院学生参加北京市和全国大学生电子设计竞赛的先河。

(六)拓宽了实验途径:“电子技术实验体系中的EDA平台”这种“虚实结合、软硬相兼”实验方法是对实验改革的一种尝试,使实验教学多了一条路子。为此我们重新修订了教学大纲,增补了许多实验内容,扩大了学生的知识面。在这种新实验教学体系中,实验初步实现了分层次、分阶段的课程结构,电类专业三层次:基本技能和基本技术、分析设计(EDA中的仿真)技术、系统集成(EDA中CPLD和FPGA设计)技术;非电专业分两个层次:基础层和技术层。新实验教学体系中突出了以工程实践为背景、以能力培养为目标,运行效果良好。

四、结论

我们进行的EDA平台建设,不仅形成了一个功能强大、内容丰富的电工电子技术实验平台,而且是一个智能化的网上实验教学系统。它可以根据每个学生的具体情况,生成弹性的实验教学计划,并可随着学习的进程,进行动态的调整,使实验教学适应不同层次的教学需求,有利于因材施教。同时也将为提高实验教学效果和效率,做出积极的贡献。电工电子网上虚拟实验室的建立,对现代化教学的开展、教学资源的有效利用都有很强的实际意义。

参考文献

[1]孟秀玲.新军事变革中的人才培养与教育训练[M].军事科学出版社,2004.

4.eda实验总结 篇四

【超齐全+详细】

本报告包含详细的【专业工具实训报告】: 1.Protel实验报告 2.Visio实验报告 3.EDA实验报告

4.收音机工作原理+电路图 5.三相异步电动机工作原理

6.三相交流异步电动机能耗制动控制电路

实践报告

By 我是痕痕的弟弟

一、实践的目的及意义.1、训练目的。

专业工具训练的目的主要是培养我们熟悉以后用到的软件,(主要为Protel软件的熟悉)以及了解最常见的元器件,通过这段时间的训练使我们自身具备:

① 绘制Protel原理图。② 绘制PCB图。③ 电子电路读图能力。

By 我是痕痕的弟弟

④ 培养编写实习报告的能力。

2、训练要求

建立在这些能力上,我们要达到能够:

① 掌握安装Protel软件,以此触类旁通安装其它软件,如Microsoft Office Visio。② 学会识别常用电子器件。

③ 掌握用Protel和Microsoft Office Visio来绘制简单的电路原理图。

④ 了解阅读电子电路的方法。⑤ 掌握编写实验报告的方法。

3、训练的意义

随着科学技术和电子工业日新月异地发展,越来越多复杂的电子电路向电子设计自动化(EDA)技术提出了新的要求,各种EDA软件应运而生。对于我们电气类从事于电气电子电路的设计的学生,要掌握一门能够在实际应用中方便简单的电子设计自动化(EDA)技术的应用软件,显得尤为重要。

专业工具训练是我们在学习自动化专业的一个重要环节,这次实践就起到一个桥梁将理论与实践沟通的作用,主要是为了使我们对自动化专业在深入学习过程中所用到的工具有一定的了解和掌握。对于电类专业的学生,通过训

By 我是痕痕的弟弟

练后,能掌握一些电子产品的基础知识以及工具软件的熟悉。为今后技术基础课和专业课程的学习建立初步的感性认识并提高自身的工程实践能力。

二、原理及其分析。

(1)如下图所示,为收音机R-858 电路原理图(SCH)

L14.5TOSCOSCC11042.55C31042.69C61812.44C8331C12104C15104C16SPEAKERR5R810C2110410HR1MUTE3LOOP7CLP12.472.471013CLP2XLIM470uFGND3VC7332MINC4202RESETS2C14S1C13D1R35.6K5OSCUD4VIIF918C18104C1920227364UCC5220uFBATTERYR7560C20103C22UCCTDA28221.67KTIMED3SETONALARMOFF16TUNECD9088CBVDIF8IFFBC2315CAP2.12VIRF11VIRF12332C17104GND14VOAF4712R1R610kL27.5TC982R10C10250.91C11221R22.2K20222KC2GND50KRV1GNDC******1323334351.5kR9470uF683RUNC56R11C24Q1901810120kQ29018L4C2520UCCUCC***312SC3610D***0kOSCC23OSCC27123451234520XL20R126.8KC26LCD screen 2L3Q39014斑马纸GNDXL1

Ⅰ、调幅(AM)工作原理

调幅收音机由输入回路、本振回路、混频电路、检波电路、自动增益控制电路(AGC)及音频功率放大电路组成,本振信号经内部混频器,与输入信号相混合。混频信号经中周和455kHz陶瓷滤波器构成的中频选择回路得到中频

By 我是痕痕的弟弟

信号。至此,电台的信号就变成了以中频455kHz为载波的调幅波。如图所示。

Ⅱ、调频(FM)工作原理

调频(FM)收音机由输入回路、高放回路、本振回路、混频回路、中放回路、鉴频回路和音频功率放大器组成。信号与本地振荡器产生的本振信号进行FM混频,混频后输出。FM混频信号由FM中频回路进行选择,提取以中频10.7MHz为载波的调频波。该中频选择回路由10.7MHz滤波器构成。中频调制波经中放电路进行中频放大,然后进行鉴频得到音频信号,经功率放大输出,耦合到扬声器,还原为声音。如图所示。

By 我是痕痕的弟弟

通过输入回路先将电台高频调制波接收下来,和本地振荡回路产生的本地信号一并送入混频器,再经中频回路进行频率选择,得到一固定的中频载波调制波(调幅中频国际上统一为465KHz或455KHz)。

1.声波:人们说话时,声带的振动引起周围空气共振,并以340米/秒的速度向四周传播,称为声波。

2.声波频率:人能够听到声波在20Hz—20kHz范围内 3.声波传递途径:声波在媒质中传播产生发射的散射,声音强度随距离增大而衰减,远距离声波传送必须依靠载体来完成,这个载体就是电磁波。

4.电磁波:电磁波是电磁振荡电路产生的,通过天线传到空中去,即为无线电波。电磁波的传送速度为光速(3×108米/秒)。选择电磁波作为载体是非常理想的。

5.无线电的发射:声波经过电声器件转换成声频电信号,调制器使高频等幅振荡信号被声频信号所调制;已调制的高频振荡信号经放大后送入发射天线,转换成无线电波辐射出去。

超外差式收音机具有以下优点:1.接收高低端电台(不同载波频率)的灵敏度一致;2.灵敏度高;3.选择性好(不易串台)。

 用同轴双联可变电容,使输入回路电容C1-2和本振回

By 我是痕痕的弟弟

路电容C1-1同步变化,从而使频率差值始终保持近似一致,其差值即为中频,即:f本振-f信号=f中频  如接收信号频率是:

 600kHz,则本振频率是1055kHz;  1000kHz,则本振频率是1455kHz;  1500kHz,则本振频率是1955kHz;

(2)下图为绕线转子三相交流异步电动机能耗制动控制电路。

RsTQS1I>I>I>I>QS2KA4FUKM30KV12SA0KA4SA1SA2SA3KA1KM~3KM3R3KMKMKM1KA1KMM3KT1KA2KM3KT2~KM3KM2R2KM1R1KTKT1KT2KA3KVKMKM1KM2KM3By 我是痕痕的弟弟

Ⅰ、三相异步电动机的制动原理。

当向三相定子绕组中通入对称的三相电流时,就产生了一个以异步转速n1沿定子和转子内圆空间顺时针旋转磁场,由于旋转磁场以n1转速旋转,转子导体开始时是静止的,故转子导体将切割定子旋转磁场,而产生感应电流。转子的载流导体在定子磁场中受到磁场力的作用。电磁力对于转子轴电磁转矩,驱动转子沿着磁场方向旋转。

通过以上分析,电动机的工作原理为:当电动机的三相定子绕组各相相差120度电角度,通入三相对称交流电后,将产生一个旋转磁场,该旋转磁场切割电子绕组,从而在电子绕组中产生感应电流,载流的电子导体在定子旋转磁场作用下将产生电磁力,从而在电机转轴上形成电磁转矩,驱动电动机转动,并且电机旋转方向与旋转磁场方向相同。

Ⅱ、能耗制动工作原理:当定子绕组通入直流电源时,在电动机中将产生一个恒定磁场,转子因机械惯性继续旋转时,转子导体切割恒定磁场,在转子绕组中产生感应电动势和电流,转子电流和恒定磁场作用产生电磁转矩,据右手定则可知,电磁转矩方向与转子转动方向相反,为制动转矩。在制动转矩作用下,转子转速迅速下降,当n=0时,T=0,By 我是痕痕的弟弟

制动过程结束。这种方法是将转子的动能转变为电能,消耗在电子回路的电阻上,所以称能耗制动。

三、实践心得体会

通过对课题“电路设计”的几个星期的学习,在使用Protel 99SE和Microsoft Office Visio 2003我学会并掌握了一些绘制、编辑基本电路原理图的方法和技巧,并能处理一些常见问题。这对于自己在今后的专业知识的认知与学习上起到了重要的辅助作用。

对于我们自动化专业,Protel 99SE的重要性不言而喻。Protel的软件包含有原理图设计软件Protel Advanced Schematic、电路板设计软件Protel Advanced PCB 99SE、用于PCB自动布线的Protel Advanced Route 99SE等多个模块。

在学习过程中,首先是对收音机R-858电路原理图的绘制。

在亲自实践前,我先是阅读了一些有关该软件的资料书籍,对于基本的界面,操作程序及流程有所大致了解。绘制原理图时,首先要创建一个新的Schematic Document界面,By 我是痕痕的弟弟

然后就是加载原件库,当遇到库中所没有的元器件时,我们就要自己动手编辑电路原件了。

由于开始对软件的陌生,不论是Protel 99SE还是Microsoft Office Visio使得自己经常找不到所需器件或是某项绘图功能,在后面的一步步摸索中,才对软件的操作比较明了化。于是制图便变得简单清晰化。但在绘图中,必须做到耐心,细心,稍不留意就会连错某些导线,或是选错相近的器件,这都是值得我们关注的。

几个礼拜的学习虽然短暂,学到的东西也有限,但却受益匪浅,以下是我在实践中我学习到:

1、Protel软件。是目前国内电子行业使用最广泛的电子电路设计软件。应用于电路原理图设计、电路板设计等,他基于Windows环境,功能强大,人机界面友好,能让人们在具有最完整的功能环境下,提升设计上的品质和效率。

2、Protel99SE由五大系统构成:

①原理图设计系统 ②印刷电路板设计系统 ③信号模拟仿真系统 ④可编程逻辑设计系统 ⑤Protel99SE内置编辑器

3、用Protel99SE和Microsoft Office Visio进行电路设计时,都应该遵循以下大致的基本步骤:①设置原理图设计环境 ②放置元件 ③原理图布线 ④ 编辑和调整 ⑤检查原理

By 我是痕痕的弟弟

图 ⑥ 生成网络表。

通过这次实习,我基本掌握了PROTEL 99的操作流程,对Microsoft Office Visio也有所涉及了解学习,并且能够处理注意事项中的常见问题。虽然我对这些软件还只是入门,但现在已经对它产生了浓厚的兴趣,我想,掌握必要的技术,继续探索,对于今后从事专业对口的工作是大有好处。作为自动化专业的学生来说,懂得如何使用这些电路设计软件是必要的学习任务,它能让我们在以后的竞争中站稳脚跟。

当今科学技术飞速发展,所谓,物竞天择、适者生存。因此,我认为,应该坚持不断发现、探索与学习的精神,才能紧随时代潮流,不会被淘汰!

电气与控制工程学院

5.eda技术教案 篇五

介绍EDA技术的涵义、发展历程和应用领域; 介绍EDA技术的主要内容; 介绍EDA的工程设计流程; 说明本课程的特点与学习方法。教学目的:

通过介绍EDA技术的涵义、发展历程和应用领域,使学生了解本课程的实际应用很大,调动学生学习这门课程的积极性

通过介绍EDA技术的主要内容,使学生了解这门课程要学习什么。在此基础上说明本课程的特点与学习方法。

说明各种通信系统的组成,了解它们的优缺点,出现背景。重点说明数字通信系统的特定和优点。

介绍EDA的工程设计流程,说明当前EDA设计的特点,用软件方式设计硬件,用软件方式设计的系统到硬件系统的转换是由有关开发软件自动完成的,因此类似软件编程,不需太多的低层硬件知识,使学生克服畏难情绪。教学重点、难点:

EDA技术的三个发展阶段以及各阶段的特点; EDA的定义和EDA技术的主要内容; EDA的工程设计流程。教学方法:

比较、举例、图解。教学过程:

(一)自我介绍,说明课时安排、成绩评定方法、课程定位、教学网站的进入。

(二)讲授新课

课堂教学实施过程共分六步。介绍EDA技术的涵义。

说明EDA技术的发展背景,说明EDA技术的三个发展阶段,比较三个阶段的各解决了什么问题,在此基础上理解各阶段的特点。

在第二步理解EDA技术进行电子系统设计的特点的基础上引出并详细说明EDA的定义,加深对EDA技术的涵义的理解。

在第三步详细说明EDA的定义的基础上,引出EDA技术的4个主要内容:硬件描述语言:设计的主要表达手段;大规模可编程逻辑器件:设计的载体;软件开发工具:设计的工具;实验开发系统:下载工具及硬件验证工具。再分别介绍EDA技术的4个主要内容:了解常用的硬件描述语言VHDL和Verilog;了解两种常用的大规模可编程逻辑器件FPGA和CPLD以及它们各自的特点;了解主流EDA工具软件;了解本课程使用的西安唐都公司的TD-EAD实验系统

说明课程要求:通过学习这门课程要掌握运用EDA开发工具设计开发电子系统,引出这门课程的特点:实践性强,说明我们的学习方法:抓住一个重点:VHDL的编程;掌握两个工具: Quartus II 和 TD-EAD实验系统;运用三种手段:通过案例分析、应用设计和上机实践,实现理论与实践相结合,边学边用,边用边学。用设计一个简单电子系统为例,引出EDA的工程设计流程。说明当前EDA技术发展的特点:用软件方式设计硬件;用软件方式设计的系统到硬件系统的转换是由有关开发软件自动完成的;设计过程中可用有关软件进行各种仿真;系统可现场编程,在线升级;整个系统可集成在一个芯片上,体积小、功耗低、可靠性高。现代EDA设计类似软件编程,不需太多的低层硬件知识,使学生克服畏难情绪。同时这里又回顾复习了使用EDA技术进行电子系统设计的特点。最后图解说明EDA的工程设计流程。第二次课

内容:安装 Quartus Ⅱ,VHDL 与 Quartus Ⅱ初体验 教学目的:

理解VHDL语言的特点。

了解主流EDA工具Quartus Ⅱ,掌握其安装方法。掌握使用 Quartus Ⅱ进行FPGA设计的基本流程。

教学重点、难点:使用Quartus Ⅱ进行FPGA设计的基本流程。教学方法: 举例、演示。教学过程:

课堂教学实施过程共分两步。

回顾上节课所讲的EDA的主要内容。在此基础上引出VHDL语言的特点,并介绍主流EDA开发工具Quartus Ⅱ,说明它的不同版本的特点。重点介绍PC破解版的安装步骤。演示安装过程,在演示是重点提醒学生注意容易出错的几个地方。

回顾上节课所讲的当前EDA技术发展的特点,EDA的工程设计流程。在此基础上说明使用 Quartus Ⅱ进行FPGA设计的基本流程,并通过实际演示设计一个简单的电子系统:与非门,说明使用 Quartus Ⅱ和VHDL开发电子系统的基本流程。在演示过程中重点提醒学生注意容易出错的几个地方,并给出一些初学者的小窍门。第三次课

内容:VHDL 程序基本结构,VHDL 基本数据类型,VHDL 数据对象 教学目的:

掌握VHDL语言程序的基本结构,特别是实体和结构体。

掌握VHDL语言的语言要素,包括VHDL语言的基本数据类型、数据对象和VHDL 运算符,理解信号和变量的区别。

教学重点、难点:VHDL语言程序的基本结构,VHDL语言的语言要素。教学方法:举例、演示、归纳、比较。教学过程:

课堂教学实施过程共分三步。1)VHDL 程序基本结构

回顾上节课设计与非门的例子,引出设计与非门的设计思想:先确定系统功能和接口,再进行内部电路设计,由此引出VHDL程序的基本结构:实体:对应系统接口,结构体:对应系统内部电路。从与非门的VHDL程序中可以直观的看到它的三部分:库、程序包的调用,实体声明,结构体定义。

以与非门的VHDL程序为例说明库、程序包的调用的作用。说明调用语法,介绍常用的三个库、程序包。

以与非门的VHDL程序为例说明实体声明的语法,各项的意义。提醒学生注意初学者容易出错的地方,如:实体名必须与文件名相同,否则编译会出错;最后一条端口声明语句不可加分号等。并通过演示出错情况,加深学生的印象。最后通过图解归纳实体声明的格式,容易出错的地方,并对初学者进行相应的建议:使用范例,在Edit—> Insert Template 中选择范例。Quartus 进行编译时,要求关联文件文件名相同,建议采用 Quartus 默认文件名,不要自己更改文件名。

以与非门的VHDL程序为例说明结构体的语法,各项的意义。提醒学生注意初学者容易出错的地方。并通过演示出错情况,加深学生的印象。最后通过图解归纳结构体的格式,应注意的地方。

2)VHDL 基本数据类型

回到与非门的VHDL程序的实体声明部分,引出VHDL的数据类型。介绍各种数据类型,重点介绍最常用的标准逻辑位、标准逻辑位矢量。提醒学生注意使用标准逻辑位矢量时必须注明数组中元素个数和排列方向,并举例说明。最后比较VHDL和C语言中使用数据类型的异同点:VHDL同 C 语言一样,必须先声明端口和信号,然后才能使用,在声明中必须定义它们的数据类型;与 C 语言不同,VHDL是强类型语言,只有相同数据类型的端口、信号和操作数才能相互作用。3)VHDL 数据对象

介绍VHDL的数据对象:信号、变量和常量。以与非门的VHDL程序为例,通过图解比较说明信号和端口的异同点。说信号声明的格式和信号赋值语句的格式。重点说明矢量赋值,通过举例说明在矢量赋值要特别注意两矢量之间的元素对应关系。

说明定义变量的语法格式、变量赋值的语法格式。比较信号和变量的区别。最后介绍定义常量的语法格式。第四次课

内容:VHDL 运算符、VHDL 并行信号赋值语句。

教学目的:

掌握VHDL 运算符。

掌握VHDL语言的并行信号赋值语句,特别是选择信号赋值语句和条件信号赋值语句,理解条件信号赋值语句的各赋值子句的优先级差别。教学重点、难点:并置运算符“&”、选择信号赋值语句、条件信号赋值语句。教学方法:举例、演示、图解。教学过程:

课堂教学实施过程共分四步。

分别介绍VHDL的四种运算符:算术运算符、并置运算符、关系运算符、逻辑运算符。对算术运算符重点说明能支持的数据类型和不能支持的数据类型。并置运算符是本课程的一个难点,通过一个电路设计,举例说明并置运算符的应用。关系运算符重点说明能支持的数据类型。提醒学生注意:“<=”在条件语句中出现为小于等于,其它情况为信号赋值。逻辑运算符重点说明其优先级关系。

并行语句是硬件描述语言区别于一般软件程序语言的最显著的特点之一。通过图解说明常用并行语句特点,从图中直观的看到:并行语句之间是并行执行,但并行语句内部的执行可以是顺序的(进程语句),也可以是并行的(块语句)。

介绍并行信号赋值语句:简单信号赋值语句、选择信号赋值语句、条件信号赋值语句。给出选择信号赋值语句格式,并通过设计3-8 线译码器,说明如何使用选择信号赋值语句。提醒学生注意容易出错的几点:“选择值”一定要覆盖所有可能情况,若不可能一一指定,则要借助 others为其它情况找个“出口”。因此强烈建议初学者使用 with „ select 语句时,最后都带上 when „

others。“选择值”必须互斥,不能出现条件重复或重叠的情况。给元素赋常数值,用单引号;给矢量赋常数值,用双引号。通过演示出错情况,加深学生的印象。

给出条件信号赋值语句格式,并通过设计8-3 线优先编码器,说明如何使用条件信号赋值语句。提醒学生注意容易:在执行 When „

Else 语句时,赋值条件按书写的先后顺序逐项测试,一旦发现某一赋值条件得到满足,即将相应表达式的值赋给目标信号,并不再测试下面的赋值条件。即各赋值子句有优先级的差别,按书写的先后顺序从高到低排列。通过观察仿真结果,直观的看到赋值优先级,加深学生的印象。第五次课

内容:进程语句、VHDL语言的顺序语句。教学目的:

掌握进程语句,掌握进程的工作原理和特点,理解进程与时钟的关系。

掌握VHDL语言的顺序语句,特别是IF 语句和CASE语句,理解IF、CASE语句和选择信号赋值语句、条件信号赋值语句的区别。

教学重点、难点:进程的工作原理和特点,进程与时钟的关系。IF 语句和CASE语句,IF 语句和CASE语句的嵌套。

教学方法:图解、举例、总结。教学过程:

课堂教学实施过程共分四步。

介绍进程语句的特点、格式,说明各项意义。图解说明进程工作原理,通过该图直观的理解进程的特点:进程本身是并行语句,单其内部是顺序语句;进程只有在特定的时刻(敏感信号发生变化)才会被激活。在此基础上说明进程与时钟的关系。通过图解说明时钟上升沿驱动进程语句,使学生较好的理解了进程的时钟和进程是一种隐形的循环。给出时钟沿的VHDL描述,通过几个寄存器的设计,说明如何使用进程语句,通过观察波形仿真图,加深学生对进程与时钟的关系的理解。最后总结进程的要点和容易出错的几个地方。介绍顺序语句,提醒学生注意进程在激活的一瞬间就执行完进程中所有语句。重点介绍IF 语句和CASE 语句。给出IF 语句的三种格式,说明它们的特点。在此基础上总结使用 IF 语句注意的要点。最后通过设计串行输入并行输出的移位寄存器和并行输入串行输出的移位寄存器,加深学生对IF语句、IF语句嵌套的理解。

给出CASE 语句的格式,说明CASE 语句使用要点。最后通过设计带使能端的 2-4 译码器,加深学生对IF语句、IF语句嵌套的理解。介绍LOOP语句和空语句。第六次课

内容:层次化设计概念、在Quartus II 中实现层次化设计 教学目的:

理解层次化设计的优点。

理解层次化设计的核心思想:模块化、元件重用。

掌握在 Quartus II 中采用图形法与文本法结合的混合输入方法实现元件重用和系统的层次化设计。

教学重点、难点:在Quartus II 中实现层次化设计。教学方法:图解、举例、演示。教学过程:

课堂教学实施过程共分三步。

说明层次化设计的优点。图解说明层次化设计的核心思想:模块化、元件重用。加深对层次化设计的优点的理解。

图解说明在 Quartus II 中实现系统层次化设计的主要步骤:首先按自顶向下的设计方法,设计系统,划分和定义系统子模块,形成系统层次化设计图。其次用 VHDL 语言设计底层子模块,并生成相应的元件符号。最后调用下层元件,完成上层模块的设计,并生成相应的元件符号。这样从底层元件开始,自底向上完成系统的设计。

通过实际演示时钟选择器的设计过程,说明如何在Quartus II 中实现系统层次化设计,主要包括模块划分、元件设计文件的建立、元件符号的生成、元件调用、LPM宏模块的调用与参数配置、顶层电路原理图的建立。第七次课

内容:系统层次化设计进阶

教学目的:理解元件例化、程序包和类属映射。教学重点、难点:略 教学方法:图解、举例。教学过程:

课堂教学实施过程共分四步。

回顾上节课的内容:在Quartus II 中实现层次化设计,并引出新问题:如何用 VHDL 语言而不是元件符号来调用已设计的元件,实现系统层次化设计。由此引出元件例化、程序包和类属映射这几个层次化设计中最重要的VHDL语句。

说明什么是元件例化:将以前设计的实体当作本设计的一个元件(元件定义),然后再调用这个元件,即用VHDL语言将各元件之间的连接关系描述出来(元件映射)。介绍元件例化中的元件定义和元件映射的语法格式,说明各项意义。通过一个例子引用2分频电路,来说明如何使用元件例化。

通过图解说明元件例化语句的缺点:如果在一个实体中用到多个元件,那么在其结构体中要用大量篇幅定义元件。元件定义在结构体中,只有这个实体能调用该元件,如果有多个实体用到同一个元件,那么在这多个实体中都要对该元件进行定义。通过对该问题的解决,引出程序包:将数据类型、元件定义、子程序等收集到一个VHDL程序包中,只要在设计实体中用USE语句调用该程序包,就可以使用这些预定义的数据类型、元件定义、子程序。说明程序包的语法格式,通过举例说明如何在程序包中进行元件定义以及如何应用程序包。提醒学生注意容易出错的地方:程序包设计文件应保存为同名的VHDL文件并编译。只有编译过的程序包才能被其它设计实体调用。

通过一个常见的实际问题:设计一个带参数的电子系统,使其应用范围更广,引出类属映射语句。通过设计带参数的分频器,说明如何用 VHDL 语言设计和调用带参数的元件。第八次课

内容:Moore 状态机的 VHDL 描述、Mealy 状态机的 VHDL 描述。

教学目的:理解什么是Moore 状态机和Mealy 状态机,掌握Moore 状态机和Mealy 状态机的 VHDL 描述。

教学重点、难点:Moore 状态机和Mealy 状态机的 VHDL 描述。教学方法:图解、举例、类比。教学过程:

课堂教学实施过程共分三步。说明组合逻辑和时序逻辑的区别。用老式按钮风扇类比组合逻辑,空调遥控器类比时序逻辑,形象的说明了组合电路的输出只与当前输入有关。时序逻辑电路的输出不仅与当前输入有关,还与过去的一系列输入有关。由此引出状态机:输出由当前状态和当前输入决定,是一种广义的时序电路。状态机分Moore与Mealy型。说明这两种状态机的特点:Moore型输出仅取决于其所处状态,Mealy型输出不仅与当前状态有关,也与当前输入有关,更常见。举例说明Moore 型状态机,并画出其状态转移图,通过状态转移图加强Moore型输出仅取决于其所处状态的理解。举例说明Mealy型状态机,并画出其状态转移图,通过状态转移图说明Mealy型输出不仅与当前状态有关,也与当前输入有关的特点。

以Moore状态机的状态转换图说明如何用VHDL来描述Moore状态机,分为两个进程:确定状态转移的进程 Chang_State,决定输出值的进程 Output_Process。状态转移进程:Moore 状态机状态转移与输出 Dout 无关。当S0状态输入0时,仍然还在S0状态,没有进行状态转移,因此也与这种自环与状态转移无关。状态转移进程由去掉输出和自环后的状态转移图决定。根据化简后的状态图写出状态转移进程。CASE语句嵌套IF语句,CASE语句表示当前状态,IF语句表示在当前状态下,根据当前输入决定状态转移。提醒学生注意:一定要有状态机开始工作的条件,否则状态机永远不会工作。输出进程:在Moore状态机中输出只与当前状态相关,用一个CASE语句完成。

在上一步的基础上,对比Moore状态机的VHDL实现,说明Mealy状态机的实现,仍分为两个进程:确定状态转移的进程Chang_State,决定输出值的进程 Output_Process。由于Mealy状态机与Moore状态机的区别只在输出 Dout 同时与当前状态 Si 和当前输入有关。因此Chang_State进程的实现与Moore机相同。输出由当前状态和当前输入共同决定,因此输出进程 Output_Process也是CASE嵌套IF的格式,与状态转移进程类似。第九次课

内容:用状态机实现交通灯控制器

教学目的:掌握使用状态机实现数字系统设计的方法。教学重点、难点:在 Quartus II 中状态机的实现方法。教学方法:图解、举例、演示。教学过程:

课堂教学实施过程共分四步。

说明任务与要求,进行系统分析设计,在此基础上画出系统框图,确定元件模块和元件接口与连接。回顾在Quartus II 中实现层次化设计过程,用VHDL语言实现底层模块:计数器和控制器的设计。

重点介绍如何用状态机设计控制器,确定状态和状态转移条件,画出状态转移图,发现这是个Moore状态机。回顾Moore状态机的VHDL实现,完成对控制器的设计。完成计数器和控制器的设计后,生成相应的计数器和控制器元件符号。按系统框图建立顶层电路图,编译工程。第十次课

教学内容:Protel2004项目文件的管理和编辑

(一)教学目标:

1、学会在Protel2004软件中创建相应的各种文件

2、学会在Protel2004文件中创建相应的项目

3、学会在Protel2004文件中创建相应的设计工作区 教学重点:

对Protel2004软件中各种层级,各种种类繁多的文件的识别和创建 教学方法:演示操作法 课时安排:1课时 教学过程:

一、Protel2004包含了三级文件管理模式,分别是设计工作区,项目和文件,级别依次从高到低。而文件有分为好多种类有原理图文件,PCB封装文件,库文件,脚本文件,混合信号仿真文件,又如项目文件中有包含不同项目对应得不同文件。我们只是建立几个常用的文件

二、在Protel2004工作环境中创建原理图文件

1、打开文件/创建/原理图,创建一个原理图文件,并保存。注意器保存位置和保存的文件名称,类型扩展名。

2、打开文件/创建/PCB文件,创建一个PCB文件,并保存。注意器保存位置和保存的文件名称,类型扩展名。

三、在Protel2004工作环境中创建项目文件

打开文件/创建/项目/PCB项目,创建一个PCB项目文件,并保存。注意器保存位置和保存的文件名称,类型扩展名。

四、在Protel2004工作环境中创建项目文件

打开文件/创建/设计工作区,创建一个设计工作区文件,并保存。注意器保存的方法、位置和保存的文件名称,类型扩展名。

以上在保存文件的,项目级工作区的时候有几种不同的方法:

1、在相应的文件上点击右键保存,或另存为

2、在菜单栏中文件中找出相应命令执行保存操作

五、打开工作面板projects.查看他们的结构关系。教学反思于总结:

在演示教学的同时要注意教学的节奏,应反复演示,是学生能看清楚每一个操作步骤。要求学生对于常用的文件类型的扩展名,图形符号有所了解。第十一次课

教学内容:原理图的设计基础 教学目标:

1、掌握原理图的一般设计步骤

2、熟悉Protel2004原理图设计工具栏

3、熟练操作原理图的图样的放大于缩小 教学难点:

掌握原理图图样的放大于缩小的操作方法,牢记器快捷键操作。教学方法:讲授法和演示操作法 课时安排:1课时 教学过程:

一、原理图的一般设计步骤(讲授法)原理图的设计流程如下:

1、启动Protel2004原理图编辑器

新建一个原理图文件或打开一个原理图文件,都可以启动原理图编辑器,进入原理图设计工作。

这里我们打开Protel2004自带示例中的一个原理图文件。

2、设置原理图图样的大小及版面

3、在图样上放置元器件

4、对所放置元器件进行布局、布线

5、对布局、布线后的元器件进行调整

二、Protel2004 原理图设计工具栏

这里我们打开Protel2004自带示例中的一个原理图文件。

Protel2004为设计者提供了方便快捷的原理图绘制工具,分类放置在不同的工具栏中。这些工具栏,可以通过执行选单命令“视图/工具栏”的下拉菜单进行打开和关闭。

三、图样的放大于缩小:

1、在视图菜单中有一系列的图样于缩小命令,分别执行这些命令,观察图样的变化,并记忆理解这些命令的含义和作用。熟练掌握这些命令的快捷键执行方式。

2、利用键盘实现图样的放大于缩小。教学反思于总结:

关于原理图设计的一般步骤,学生只要有个大致的了解就可以了,这个过程会在以后的操作中逐渐的熟练起来。儿本节课中第三部分是重点难点。需要学生对于各个命令逐条的反复的操作,观察器变化,这个操作过程是枯燥的,应该尽可能的提高这节课的趣味性。让学生更积极的投入进去。第十二讲

教学内容:连接线路

(一)教学目标:

1、能够熟练的连接导线

2、学会放置线路节点

3、掌握设置网络标号 教学重点:

1、熟练的在电路中连接导线

2、理解网络标号的含义并能够正确而且熟练的放置网络标号 教学方法:演示教学法,任务完成法 课时安排:2课时 教学过程:

一、连接导线

当所有电路元器件、电源和其他对象放置完毕后,就可以进行原理图中个对象的连线。连线的主要目的是按照电路设计的要求建立网络的实际连通性。打开任一原理图电路,对所教授内容进行演示。

连线的方法:执行“放置/导线命令”命令,或左键单击wring工具栏中的连接导线命令。此时光标变为十字状,进入连线状态。当光标到达电气引脚是,会自动出现一个红色的“×”,单击鼠标左键,确定导线的起点,拖动鼠标到终点处,单击鼠标左键,一根导线结束。再次单击开始画第二条导线,也可单击鼠标右键退出连线状态。

Protel2004为设计者提供了四种导线模式:90度走线、45度走线、任意角度走线和自动布线。在画导线过程中,按下shift+space键,可以在各种走线模式间循环切换。

二、放置线路结点

所谓线路结点,是指当两条导线交叉时相连的状况。如果没有结点存在,则认为该两条导线在电气上是不连通的。反之,则连通。

Protel2004是设计者在绘制导线时,将在T字连线处自动产生结点,而在十字处不会自动产生结点,若设计者需要结点则必须由手工放置。

三、设置网络标号

网络标号是实际电气连接的导线的序号。具有相同的网络标号的导线,不管图上是否连在一起,都被看做是同一条导线。它多用于多层次电路或多重式电路的各个模块电路之间的连接,这个功能在绘制印制电路板时十分重要。

放置网络标签的方法:执行“放置/网络标签”命令,光标变成十字状当网络标签于导线或引脚连接状态时,会出现红色的“×”标志,单击鼠标左键,完成放置。同时对网络标签进行设置。

绘制下列电路图,完成对所学内容的练习

教学反思与总结:

本次课中,导线和网络标签的使用在绘制原理图的过程中是经常使用的,尤其是连接导线,需要反复的练习才能够熟练的掌握。有的学生在连接导线是的终点和下一段导线的起点操作不正确,将单击变为了双击,会造成元器件短路(如下图示例)。还有就是网络标签的放置位置不正确,没有注意到红色小叉的标记,随让标签也是放置在了导线或者元件的附近,但是并未形成成功的连接。第十三讲

教学内容:原理图的绘制 教学目标:

1、通过实际的大量的练习熟悉并掌握原理图文件的设计步骤

2、通过实际的大量的练习教学重点:

熟悉并掌握原理图的绘画技巧 教学方法:任务式教学法 课时安排:1课时 教学过程:

绘制下面的原理图,进行练习:

教学反思与总结:

6.EDA毕业论文 篇六

引言

随着大规模集成电路技术和计算机技术的不断发展,在涉及通信、国防、航天、医学、工业自动化、计算机应用、仪器仪表等领域的电子系统设计工作中,EDA技术的含量正以惊人的速度上升;电子类的高新技术项目的开发也逾益依赖于EDA技术的应用。即使是普通的电子产品的开发,EDA技术常常使一些原来的技术瓶颈得以轻松突破,从而使产品的开发周期大为缩短、性能价格比大幅提高。不言而喻,EDA技术将迅速成为电子设计领域中的极其重要的组成部分。

EDA技 术

即电子设计自动(Electronic DesignAutomation)技术,以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件的方式设计电子系统到硬件系统的一门技术。EDA技术是一种实现电子系统或电子产品自动化设计的技术,与电子技术、微电子技术的发展密切相关。同时它吸收了计算机科学领域的大多数最新研究成果,以计算机作为基本工作平台,利用计算机图形学、拓扑逻辑学、计算数学以至人工智能学等多种计算机应用学科的最新成果而开发出来的一整套电子CAD通用软件工具,是一种帮助电子设计工程师从事电子组件产品和系统设计的综合技术。EDA技术的出现,为电子系统设计带来了一场革命性的变化。没有EDA技术的支持,想要完成上述超大规模集成电路的设计制造是不可想象的。

EDA技术的主要内容

EDA技术涉及面很广,内容丰富,从教学和实用的角度看,主要应掌握如下四个方面的内容:1)大规模可编程逻辑器件;2)硬件描述语言;3)软件开发工具;4)实验开发系统。其中,大规模可编程逻辑器件是利用EDA技术进行电子系统设计的载体,硬件描述语言是利用EDA技术进行电子系统设计的主要表达手段,软件开发工具是利用EDA技术进行电子系统设计的智能化的自动设计工具,实验开发系统则是利用EDA

技术进行电子系统设计的下载工具及硬件验证工具。大规模可编程逻辑器件PLD(Programmable Logic Device,可编程逻辑器件)是一种由用户编程以实现某种逻辑功能的新型逻辑器件。FPGA和CPLD分别是现场可编程门阵列和复杂可编程逻辑器件的简称,两者的功能基本相同,只是实现原理略有不同,所以我们有时可以忽略这两者的区别,统称为可编程逻辑器件或CPLD/FPGA。PLD是电子设计领域中最具活力和发展前途的一项技术,PLD能完成任何数字器件的功能。PLD如同一张白纸或是一堆积木,工程师可以通过传统的原理图输入法,或是硬件描述语言自由的设计一个数字系统,通过软件仿真,我们可以事先验证设计的正确性。在PCB完成以后,还可以利用PLD的在线修改能力,随时修改设计而不必改动硬件电路。使用PLD来开发数字电路,可以大大缩短设计时间,减少PCB面积,提高系统的可靠性。PLD的这些优点使得PLD技术在20世纪90年代以后得到飞速的发展,同时也大大推动了EDA软件和硬件描述语言(HDL)的进步。硬件描述语言(HDL)硬件描述语言(HDL)是相对于一般的计算机软件语言如C、Pascal而言的。HDL是用于设计硬件电子系统的计算机语言,它描述电子系统的逻辑功能、电路结构和连接方式。HDL具有与具体硬件电路无关和与设计平台无关的特性,并且具有良好的电路行为描述和系统描述的能力,并在语言易读性和层次化结构化设计方面,表现了强大的生命力和应用潜力。用HDL进行电子系统设计的一个很大的优点是设计者可以专心致力于其功能的实现,而不需要对不影响功能的与工艺有关的因素花费过多的时间和精力。就FPGA/CPLD开发来说,比较常用和流行的HDL主要有VHDL、Verilog HDL、ABEL、AHDL、SystemVerilog和SystemC。其中VHDL、Verilog在现在EDA设计中使用最多,也拥有几乎所有的主流EDA工具的支持。而Sys-temVerilog和SystemC这两种HDL语言还处于完善过程中。现在,VHDL和Verilog作为IEEE的工业标准硬件描述语言,又得到众多EDA公司的支持,在电子工程领域,已成为事实上的通用硬件描述语言。有专家认为,在新的世纪中,VHDL与Verilog HDL语言将承担起大部分的数字系统设计任务。

软件开发工具

这类软件一般由PLD/FPGA芯片厂家提供,基本都可以完成所有的设计输入(原理图或HDL),仿真,综合,布线,下载等工作。集成的PLD/FPGA开发环境供应商开发环境简介AlteraMAXPLUSIIAltera的MaxplusII曾经是最优秀的PLD开发平台之一,适合开发早期的中小规

模PLD/FPGA使用者众多。目前Altera已经停止开发MaxplusII,而转向QuartusII软件平台QuartusIIAltera公司新一代PLD开发软件,适合大规模FPGA的开发XilinxFoundationXilinx公司上一代的PLD开发软件,目前Xilinx已经停止开发Foundation转向ISE软件平台ISE Xilinx公司目前的FPGA/PLD开发软件

LatticeispDesignEXPERTLattice公司的PLD开发软件,目前最新软件改名为ispLEVERispLEVERLattice推出的最新一代PLD集成开发软件,取代ispEXPERT成为FPGA和PLD设计的主要工具。实验开发系统提供芯片下载电路及EDA实验/开发的外围资源(类似于用于单片机开发的仿真器),供硬件验证用。一般包括:1)实验或开发所需的各类基本信号发生模块,包括时钟、脉冲、高低电平等2)FPGA/CPLD输出信息显示模块,包括数据显示、发光管显示、声响指示等3)监控程序模块,提供“电路重构软配置4)目标芯片适配座以及上面的FPGA/CPLD目标芯片和编程下载电路。

EDA技术的应用展望

EDA技术将广泛应用于高校电类专业的实践教学和科研工作中与世界各知名高校相比,我国高等院校在EDA及微电子方面的教学和科研工作有着明显的差距,我们的学生现在做的课程实验普遍陈旧,动手能力较差。从某种意义上来说,EDA教学科研情况如何,代表着一个学校电类专业教学及科研水平的高低,而EDA教学科研工作开展起来后,还会对微电子类、计算机类学科产生积极的影响,从而带动各高校相应学科的同步发展。EDA技术将广泛应用于专用集成电路和新产品的开发研制中由于可编程逻辑器件性能价格比的不断提高,开发软件功能的不断完善,而且由于用EDA技术设计电子系统具有用软件的方式设计硬件;设计过程中可用有关软件进行各种仿真;系统可现场编程,在线升级;整个系统可集成在一个芯片上等特点,使其将广泛应用于专用集成电路和机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域新产品的开发研制中。EDA技术将广泛应用于传统机电设备的升级换代和技术改造传统机电设备的电器控制系统,如果利用EDA技术进行重新设计或进行技术改造,不但设计周期短、设计成本低,而且将提高产品或设备的性能,缩小产品体积,提高产品的技术含量,提高产品的附加值。EDA技术将在国防现代化建设中发挥重要的作用EDA技术是电子设计领域的一场革命,目前正处于高速发展阶段,每年都有新的EDA工具问世,我国EDA技术的应用水平长期落后于发达国

家,如果说用于民品的核心集成电路芯片还可以从国外买的到的话,那么军用集成电路就必须依靠自己的力量研制开发,因为用钱是买不到国防现代化的,特别是中国作为一支稳定世界的重要力量,更要走自主开发的道路。强大的现代国防必须建立在自主开发的基础上,因此,广大电子工程技术人员应该尽早掌握这一先进技术,这不仅是提高设计效率和我国电子工业在世界市场上生存、竟争与发展的需要,更是建立强大现代国防的需要。

我国EDA技术的出路

中国IC设计公司任重道远近年来我国的半导体市场发展突飞猛进,政府积极扶植EDA产业,加大招商引资力度,大力建设EDA制造业基地,国务院颁布的软件产业和集成电路产业发展的若干政策从政策上为EDA的发展营造了良好的外部环境,同时世界领先的一些供应商也看好中国市场的潜在优势,向中国出口先进的设计工具,但具备了工具只是解决了设计手段,而中国的设计师在EDA的总体应用能力方面与世界发达国家相比还存在一定的差距,突出表现为专业人才紧缺,缺乏成熟化的整合性集成设计环境,供应商技术服务支持不够,中国EDA技术的现在和未来都应重视设计方法、工具和设计语言等方面的问题,从整体上看,中国市场对设计工具的需求已越来越与国际接轨,但是尽管中国EDA设计领域中前端的设计相对成熟,但后端例如从网表到C++等的设计却面临着更大的挑战。无论是EDA的使用还是EDA工具本身,我国与先进国家相比都有很大差距。EDA标准化工作在我国刚刚起步,我国有庞大的市场需求和快的增长速度,同时还有后发优势,这是我国EDA发展的楔机。在EDA标准化方面,目前主要应采用国际和国外先进标准,一方面引进和转化适用的标准,更重要的是加强转化后标准的宣传和推广,通过标准化工作促进我国EDA及集成电路产业的发展。

我国如何应对EDA技术的挑战

①充分发挥EDA仿真技术在教学中的应用,培养更多适应新技术要求的人才。人才需求的变化,技术的发展之快更是需要教育工作者有着人才培养的超前意识。这一意识必须是科学的、崭新的、快速的、甚至是跳跃的。特别是人才的培养需要有掌握新技术的专业教师,还要有新技术的设备才能满足人才培养的要求。新技术、新设备的大量投入可能会影响到进入人才市场最前沿的机遇。这就需要我们思维创新,教学手段创新。作者认为学校实验教学就应该以EDA仿真技术

为突破口,引入计算机辅助教学手段,从而加快高素质人才培养的速度,建立雄厚的EDA技术人才基础。②以半导体的研究创新促进EDA技术发展半导体工艺技术在过去5年中正以飞快的速度发展。硅的生产率每18个就会增加,而设计生产率仍旧严重滞后。自从半导体行业步入0.13μm时代以来,集成电路设计所面临的挑战已被多次提及,范围包括了数字和模拟电路领域。相关的内容包括:功率管理,功能验证,漏电流,对于超过1.5亿个晶体管的复杂设计管理,还有0.13μm以下的混合信号和数字设计等等。过去那些令人生畏的巨大挑战总会被解决,所以说没有人会怀疑半导体技术会适时而及时地找出解决所面临的挑战方案,来击败ITRS的预言。但是,为了在设计效率和设计技术有效上取得长足进步和避免成本重复,EDA产业应该支持相应的一整套标准,如设计工具的全球战略、可制造性设计、统计设计方法、低功率设计和系统级确认等。③开发实用性更强的EDA软件在EDA软件开发方面,目前主要集中在美国。但各国也正在努力开发相应的工具。日本、韩国都有ASIC设计工具,但不对外开放。中国华大集成电路设计中心,也提供IC设计软件,但性能不是很强。相信在不久的将来会有更多更好的功能强大、界面友好、使用方便的设计工具在各地开花并结果。④外设技术与EDA工程相结合外设技术与EDA工程相结合的市场前景看好,如组合超大屏幕的相关连接,多屏幕技术也有所发展。中国自1995年以来加速开发半导体产业,先后建立了几所设计中心,推动系列设计活动以应对亚太地区其它EDA市场的竞争。在信息通信领域,要优先发展高速宽带信息网、深亚微米集成电路、新型元器件、计算机及软件技术、第三代移动通信技术、信息管理、信息安全技术,积极开拓以数字技术、网络技术为基础的新一代信息产品,发展新兴产业,培育新的经济增长点。要大力推进制造业信息化,积极开展计算机辅助设计

7.eda实验总结 篇七

数字电路是高等院校电子信息类专业的一门重要的专业基础课程, 具有很强的工程性和实践性[1]。为帮助学生理解数字电路的知识, 掌握数字电路的设计方法, 设计一系列涉及知识点多、综合性强的设计性实验是非常必要的[2]。然而, 目前国内多数高校的数字电路实验, 主要以结合课程教学内容进行的验证性实验为主[3,4], 综合性、设计性实验项目过少, 这不利于培养学生综合运用所学知识解决工程实际问题的能力[5,6]。其次, 综合性实验往往涉及的知识点较多, 所需的器件数量、种类繁多, 如果仍然采用传统的模式即通过搭接各种74系列中、小规模集成电路 (MSI、SSI) 来完成, 不仅会受到现有实验设备和器件的限制[7], 而且电路设计、调试起来十分困难, 这不利于培养学生的学习积极性和主动性[8]。

针对上述问题, 我们在实验过程中积极引入了现代EDA (Electronic Design Automation) 技术[5~9], 提出了应用EDA技术来开展数字电路综合性实验教学这一思路。在EDA技术平台上相继开发了一系列涉及知识点广泛、综合性强的数字电路研究性实验, 如多功能数字时钟, 数字频率计, 出租车计价器等。这些综合实验的设计不仅覆盖了数字电路课程的主要教学内容, 而且还包含了竞争-冒险现象的识别和消除等工程实际问题[10]。下面以"出租车计费器"综合实验[11]为例, 介绍我们在应用EDA技术开发数字电路综合实验方面所做的探索。

2 EDA设计软件

根据学校现有的EDA实验设备和条件, 我们采用Altera公司的Quartus II作为综合实验的设计平台, Quartus II具有强大的设计能力、友好的图形界面及简便的使用方法, 是当今业界最优秀的EDA设计工具之一[1]。它支持原理图、VHDL、Verilog HDL等多种设计输入形式, 利用其内嵌的综合器以及仿真器, 可以完成从设计输入到器件编程的全部功能。Quartus II提供了丰富的数字电路逻辑功能库, 其中包含了74系列全部器件的等效宏功能 (Macro Function) 库, 这为学生学习数字电路时进行原理图的设计提供了极大的方便。此外, Quartus II还具有完备的功能与时序仿真工具, 可以方便的对所设计的数字电路进行逻辑功能仿真和时序分析。借助Quartus II的编程工具和下载电缆, 还可将所设计电路下载到EDA实验开发系统上, 进行实际的硬件验证和测试。

3 实验系统设计

3.1 实验系统方案设计

在本文中, 我们以日常所见的出租车计费器为设计目标, 同时考虑可实现性在功能上作了适当的简化。要求采用数字电路中常用的SSI/MSI集成器件和门电路, 设计并实现以下要求[11]:

1) 车型可以选择, 以适应不同车轮直径的车辆;

2) 起步里程和起步价可在一定范围内进行设置:起步里程:0~9公里, 起步价:0~15元;

3) 车辆起步后开始计费, 并显示起步价;当实际行驶里程超出起步里程, 在起步价的基础上每行驶500米增加1元;

4) 实际行驶里程和费用各采用3位十进制数显示。

根据以上设计要求, 可以将整个系统划分成主控模块、设置模块、百米脉冲发生模块、里程计数模块和计费模块等5个模块, 其整体结构框图及各模块之间的关系如图1所示。

图1中, 主控模块接收外部输入信号, 并根据接收的输入信号产生整个系统所需的各种控制信号, 如计数使能信号、清零信号和预置数信号等, 控制其他模块完成输入信号所指定的功能。设置模块通过外部的调整按键来实现车型, 起步里程和起步价等参数的设置。百米脉冲发生模块在主控模块的控制下对来自车轮的脉冲进行计数, 并根据选定的车型产生连续的百米脉冲信号, 作为里程计数模块的时钟。里程计数模块用来对输入的百米脉冲信号进行计数, 并将计数的结果 (实际行驶里程) 送到数码管显示。计费模块根据预定的计费规则和车辆实际行驶里程进行计费并显示。

3.2 实验系统模块设计

1) 主控模块

该模块用来设置系统的工作模式并产生系统所需的各种控制信号, 包括百米脉冲发生模块、里程计数模块和计费模块的计数使能信号EN及清零信号CLR, 以及计费模块的起步价预置信号LOAD等, 其电路如图2所示。图中, Mode为功能选择输入端, START为计费器启动信号。计费器有两种功能模式, 设置模式和工作 (或计费) 模式, 当Mode为高电平时为设置模式, 这时用户可以通过调整按键对车型、起步价和起步里程进行设置。当Mode为低电平时进入工作模式, 按下START键, 启动计费器, 当车辆到达目的地后, 再按一次START即可停止计费, 同时显示实际里程和所需费用。

由于该模块的输出作为其它模块的控制信号, 必须避免竞争-冒险现象的产生。为此, 本文采用一个由两个D触发器、一个与门及一个非门组成的同步整形电路 (如图2中虚线框内部分) 对START信号进行整形, 将其转变为与外部1k Hz时钟同步且脉宽为一个周期的脉冲信号ST, 对ST进行二分频即可得到计数使能信号EN。当EN有效时先输出一个计数清零信号CLR, 接着输出一个LOAD信号将起步价预置到计费模块的计数器中。图3给出了该模块的工作时序图。

2) 设置模块

该模块用来设置出租车的车型, 起步里程和起步价等参数, 其电路如图4所示由子模块ADJ_MODE_SET (图4虚线框内部分) 、4片74160 (CNT1~CNT4) 及若干门电路构成。

因为要设置3种取值范围不同的参数, 因此, 我们设计了两个按键 (Key1和Key2) 和三个不同模的计数器来分别调整并存储它们的值。其中, Key1用来切换设置参数的类型, Key2按键用来调整具体的参数值。这两个按键仅在Mode为高电平时有效。车型计数器是一个模4计数器, 由计数器CNT1和二输入与非门G3采用同步置数法构成, 其输入时钟为Key C, 输出车型为两位二进制数Car_Type, 车型与轮胎直径及行驶百米所需圈数之间的关系如表1所示。起步里程计数器CNT2输入时钟为Key B, 输出用4位二进制数START_MILE表示。计数器CNT3、CNT4与四输入与非门G9构成了一个模16的计数器, 用来设置起步价, 其输入时钟为Key A, 输出为8位二进制数START_MONEY (高4位为十位, 低4位为个位) 。

子模块ADJ_MODE_SET主要由一个3进制计数器 (计数状态:00→01→10→00) 和一个2-4译码器74139构成, 其中, 2-4译码器74139构成一个时钟分配器, 它根据计数器的输出结果Q[1]Q[0]将Key2分别送到Key A、Key B和Key C, 输出LED用来指示当前设置的参数类型, 表2列出了该模块的逻辑功能。

3) 百米脉冲发生模块

该模块根据输入的车型信息Car_Type和来自轮胎的脉冲信号Tyre_Pulse来产生百米脉冲信号P100M, 主要由两片十六进制加法计数器74161和一片双四选一数据选择器74153构成, 如图5所示。该电路实际上是一个模可变的加法计数器, 首先采用同步级联法将两片74161构成一个模16×16的计数器, 然后通过同步预置数法来构成不同模的计数器。同步预置信号LDN可由计数器的模来产生。计数器的模有四种情况, 分别对应四种不同的车型, 如表3所示。

根据表3, 可得LDN的逻辑表达式如下:

其中:

式 (1) 中, CT1、CT0是车型信息Car_Type的高位和低位。式 (1) 中括号内部分正好可以用一个四选一的数据选择器来实现, CT1、CT0为其地址输入端, C0、C1、C2和C3作为其4个数据输入端, 可根据式 (2) 用门电路的组合来实现, 如图5中虚线所示。

4) 里程计数模块

该模块要实现两方面的功能:一是对来自百米脉冲发生模块的P100M信号进行计数并输出显示计数结果Mile (代表实际里程, 用12位二进制数表示, 从低到高每4位一组分别表示0.1公里数、公里数和10公里数) , 二是根据当前里程和起步里程的比较结果来确定是否产生500m的脉冲信号P500M。图6给出了该模块的实现电路, 图中, CNT1~CNT3是3个由74160构成的带异步清零、计数使能功能的十进制加法计数器, 用来对输入的百米脉冲信号P100M进行计数, 所得到的计数结果即为实际里程。CNT4是一个带异步清零、计数使能功能的五进制加法计数器, CMP4B是一个由一片7485构成的4位比较器。由图可知, 当Mile中间4位如果大于起步里程Start_Mile时, 即代表当前实际公里数已超过起步里程, 这时比较器的输出AGTB经D触发器锁存后送到CNT4的计数使能端, CNT4开始对P100M进行五进制计数, 输出的进位信号P500M即代表500米的脉冲信号。

5) 计费模块

该模块根据当前的行驶里程数来计算产生的费用, 由三个带异步清零和计数使能控制的十进制加法计数器以异步级联的方式构成, 如图7所示。其中两个CNT10_A还带有异步预置功能, 用来预置起步价Start_Money。该模块的清零信号CLR、计数使能信号EN和预置数信号LOAD由主控模块产生和控制, 输出信号Money代表每次计费产生的费用, 用12二进制数表示, 从高到低每4位一组分别表示费用的百位、十位和个位 (单位为元) 。每次计费器启动后, 该模块先将起步价预置到计数器中, 然后等待来自里程计数模块的500米的脉冲信号P500M, 每来一个脉冲计数值加一, 表示每行驶500米加收1元。

6) 顶层模块

完成上述各电路模块的设计后, 即可根据图1所示的系统组成框图进行组装, 得到如图8所示的顶层模块电路。图中, control、adjust、p100m_gene、mile_calc和money_calc分别表示图1的主控模块、设置模块、百米脉冲发生模块、里程计数模块和计费模块。输入信号Tyre_Pulse表示来自轮胎的脉冲信号, Adj_Type、Adj_Key分别用来调整参数的类型和参数值。输出信号Adj_Mode_Disp指示当前的调整参数类型, Mile_Disp和Money_Disp分别显示当前行驶的里程数和费用。

4 软件仿真及硬件测试

4.1 软件仿真

Quartus II具有完善的电路功能与时序仿真工具。在设计过程中, 每完成一个功能模块的设计后, 都可借助Quartus II仿真工具Simulator对其进行逻辑功能仿真和时序分析, 以验证所设计电路的正确性。这也是基于EDA技术的设计方法相对于传统设计方法的一个突出优势。图9和图10分别给出了设置模块和顶层模块的时序仿真波形。在图9中, 当Mode为高电平时, 通过Key1和Key2将车型、起步里程和起步价分别设成了11 (车型4) 、5公里和11元。图10中, 在起步里程等于5公里、起步价为11元的条件下, 车辆行驶了6.6公里, 计费器算出费用为14元。可见, 这两个电路都正确实现了预期功能。其他模块的软件仿真与此类似, 限于篇幅不一一给出。

4.2 硬件测试

各个模块及顶层模块经过仿真验证无误后, 即可对整个系统进行硬件测试。首先将图8所示的顶层模块的输入输出信号锁定到FPGA的相应引脚上, 然后利用Quartus II编程工具Programmer通过下载电缆将.sof编程文件配置到EDA实验开发系统的FPGA芯片上, 车轮脉冲Tyre_Pulse用实验开发系统上时基信号来模拟。经实际电路测试, 所设计的出租车计费器系统运行正常, 正确实现了预期的设计要求。

5 在数字电路综合实验教学中引入EDA的优势

通过以上综合实验的设计可以看出, 应用EDA开展数字电路综合实验具有以下优点:

1) 符合现代电子设计技术发展趋势。EDA技术代表了现代电子技术最新发展方向, 因此这种综合实验方式可以帮助学生熟悉和掌握先进的电路设计方法和技能。

2) 可以将传统的数字电路设计与调试问题变成一个"软件"问题, 因此不受现有实验条件的限制, 大大降低了实验成本, 同时综合实验的规模和复杂程度可以大大增强, 有利于综合性和创新性实验的设计。

3) 利用EDA设计工具强大的仿真和分析功能, 可大大简化调试的过程, 学生可以将主要精力集中在实验方案设计、电路设计及实验数据分析等方面, 从而提高实验教学的效率。

6 结束语

应用EDA技术来开展数字电路综合实验, 是数字电路实验教学方法改革的一个发展趋势。这种基于EDA的数字电路综合实验设计方法, 一方面由于在设计过程中用到了数字电路课程中众多常用器件和设计方法, 因此能很好的锻炼学生对数字电路知识的综合运用能力。另一方面, 还可以给学生提供一个先进的数字电路设计平台, 使他们跟上现代电子技术发展的步伐。实践证明, 这种数字电路综合实验设计的新模式, 对加深学生对课程知识的理解和运用, 提高他们对本课程的学习兴趣与效率, 都起到了良好的促进作用。

参考文献

[1]洪海丽.利用MAX+plusⅡ软件, 提高数字电子技术课教学效率[J].电脑知识与技术, 2007 (17) :1462, 1468.

[2]徐向民, 郭振灵, 邢晓芬, 尤芳敏.基于EDA技术的数字系统课程设计改革[J].实验技术与管理, Vo1.24, NO.3, 2007:25-27.

[3]林珊, 金福江.数字电路综合实验设计与研究[J].高等理科教育, 2002 (6) :49-52.

[4]唐续, 现代电子技术开放式综合实验的实践[J].实验技术与管理, 2007, 24 (9) :35-39.

[5]黄勤易.利用EDA技术进行数字电路设计性实验的研究[J].半导体技术, 2006, 31 (1) :19-22.

[6]崔国玮, 李文涛.基于EDA技术的数电课程设计新模式的探索与实践[J].实验技术与管理, 2008, 25 (1) :123-125.

[7]辛修芳, 李媛.EDA技术与数字电子技术教学的整合[J].重庆电子工程职业学院学报, 2010 (2) :155-157.

[8]张月楼, 陈涛.谈EDA在高职数字电子技术实验中的应用[J].教育与职业, 2007 (15) :167-168.

[9]田建艳, 夏路易.EDA支持下的电子技术教学实践[J].教育理论与实践, 2005, 25 (6) :54-55.

[10]阎石.数字电子技术基础[M].北京:高等教育出版社, 2006.

上一篇:怀旧作文300字下一篇:《乡下人家》教学设计与反思